raise Error('The casa commands log is not executable!') # This file contains CASA commands run by the pipeline. Although all commands # required to calibrate the data are included here, this file cannot be # executed, nor does it contain heuristic and flagging calculations performed # by pipeline code. This file is useful to understand which CASA commands are # being run by each pipeline task. If one wishes to re-run the pipeline, one # should use the pipeline script linked on the front page or By Task page of # the weblog. Some stages may not have any commands listed here, e.g. # hifa_importdata if conversion from ASDM to MS is not required. # hifv_importdata(vis=['TSKY0001.sb32458590.eb32483782.57597.441518437496'], session=['session_1']) # # If required, ASDMs are converted to MeasurementSets. # importasdm(asdm='TSKY0001.sb32458590.eb32483782.57597.441518437496', vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', createmms=False, ocorr_mode='co', lazy=False, asis='Receiver CalAtmosphere', process_caldevice=True, process_pointing=True, savecmds=True, outfile='TSKY0001.sb32458590.eb32483782.57597.441518437496.flagonline.txt', overwrite=False, bdfflags=False, with_pointing_correction=True) # hifv_hanning(pipelinemode="automatic") # # No comment registered for hifv_hanning # hanningsmooth(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', outputvis='temphanning.ms', datacolumn='data') # hifv_flagdata(quack=False, intents='*POINTING*,*FOCUS*,*ATMOSPHERE*,*SIDEBAND_RATIO*, *UNKNOWN*, *SYSTEM_CONFIGURATION*, *UNSPECIFIED#UNSPECIFIED*', autocorr=True, clip=True, flagbackup=False, fracspw=0.0, tbuff=0.225, hm_tbuff='manual', edgespw=False, template=True, online=True, baseband=False, shadow=True) # # No comment registered for hifv_flagdata # flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='summary', name='before') flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='list', inpfile='TSKY0001.sb32458590.eb32483782.57597.441518437496.flagcmds.txt', tbuff=0.225, action='apply', flagbackup=False, savepars=False) # hifv_vlasetjy(pipelinemode="automatic") # # No comment registered for hifv_vlasetjy # setjy(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', field='0', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='Perley-Butler 2017', model='3C48_S.im', listmodels=False, fluxdensity=-1, usescratch=True) # hifv_priorcals(tecmaps=False, swpow_spw='6,14') # # No comment registered for hifv_priorcals # gencal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', caltype='gc', parameter=[]) plotweather(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', seasonal_weight=0.5, doPlot=True) gencal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', caltype='opac', spw='0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', parameter=[0.0080101592461606658, 0.0080904382553086667, 0.0056209812710107891, 0.0056563482679778121, 0.0056891280300378419, 0.0057210453804622087, 0.0057517658331644227, 0.0057821811942904023, 0.0058123085937233565, 0.0058423826669168613, 0.0058728496409803689, 0.0059033648660279112, 0.0059347647035070386, 0.0059662235151482701, 0.0059989684416395959, 0.0060317830617510207, 0.006066125333921181, 0.0061006070006076277]) gencal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', caltype='rq', parameter=[]) gencal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_5.swpow.tbl', caltype='swpow', parameter=[]) gencal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', caltype='antpos', parameter=[]) # hifv_syspower(pipelinemode="automatic") # # Sys power fix compression # # hifv_testBPdcals(refantignore='ea14') # # No comment registered for hifv_testBPdcals # gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_1.testdelayinitialgain.tbl', field='0', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea11,ea17,ea25,ea23,ea08,ea19,ea06,ea20,ea07,ea16,ea10,ea12,ea01,ea21,ea15,ea03,ea13,ea09,ea22,ea28,ea26,ea27,ea05,ea18', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_2.testdelay.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', preavg=-1.0, refant='ea24,ea04,ea11,ea17,ea25,ea23,ea08,ea19,ea06,ea20,ea07,ea16,ea10,ea12,ea01,ea21,ea15,ea03,ea13,ea09,ea22,ea28,ea26,ea27,ea05,ea18', minblperant=4, minsnr=3.0, solnorm=False, gaintype='K', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_1.testdelayinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_3.testBPdinitialgain.tbl', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea11,ea17,ea25,ea23,ea08,ea19,ea06,ea20,ea07,ea16,ea10,ea12,ea01,ea21,ea15,ea03,ea13,ea09,ea22,ea28,ea26,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_2.testdelay.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_3.testBPdinitialgain3.tbl', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='1.35s', combine='scan', preavg=-1.0, refant='ea24,ea04,ea11,ea17,ea25,ea23,ea08,ea19,ea06,ea20,ea07,ea16,ea10,ea12,ea01,ea21,ea15,ea03,ea13,ea09,ea22,ea28,ea26,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_2.testdelay.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) bandpass(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_4.testBPcal.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', refant='ea24,ea04,ea11,ea17,ea25,ea23,ea08,ea19,ea06,ea20,ea07,ea16,ea10,ea12,ea01,ea21,ea15,ea03,ea13,ea09,ea22,ea28,ea26,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=False, bandtype='B', smodel=[], append=False, fillgaps=0, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_2.testdelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_3.testBPdinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_4.testBPcal.tbl', mode='clip', correlation='ABS_ALL', clipminmax=[0.0, 2.0], datacolumn='CPARAM', clipoutside=True, action='apply', flagbackup=False, savepars=False) applycal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', selectdata=True, scan='4', docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_2.testdelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_3.testBPdinitialgain.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_testBPdcals.s7_4.testBPcal.tbl'], gainfield=[''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag'], spwmap=[], calwt=[False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=True) # hifv_flagbaddef(doflagundernspwlimit=False) # # No comment registered for hifv_flagbaddef # # hifv_checkflag(checkflagmode='bpd-vlass') # # No comment registered for hifv_checkflag # flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='summary') flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='0', correlation='ABS_RL', scan='4', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='0', correlation='ABS_RL', scan='4', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.25932295], [ 0. , 3. , 0.25932295], [ 0. , 4. , 0.25932295], [ 0. , 5. , 0.21796092], [ 0. , 6. , 0.18868023], [ 0. , 7. , 0.16700047], [ 0. , 8. , 0.25932295], [ 0. , 9. , 0.21855462], [ 0. , 10. , 0.20073455], [ 0. , 11. , 0.20073455], [ 0. , 12. , 0.20073455], [ 0. , 13. , 0.20073455], [ 0. , 14. , 0.17614268], [ 0. , 15. , 0.19785406], [ 0. , 16. , 0.17768529], [ 0. , 17. , 0.17933297]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.20601356], [ 0. , 3. , 0.20601356], [ 0. , 4. , 0.20601356], [ 0. , 5. , 0.2060012 ], [ 0. , 6. , 0.20601356], [ 0. , 7. , 0.19856776], [ 0. , 8. , 0.20400169], [ 0. , 9. , 0.19581083], [ 0. , 10. , 0.17295514], [ 0. , 11. , 0.17295514], [ 0. , 12. , 0.17126357], [ 0. , 13. , 0.15809886], [ 0. , 14. , 0.15228181], [ 0. , 15. , 0.17148423], [ 0. , 16. , 0.17295514], [ 0. , 17. , 0.17295514]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.25932295], [ 0. , 3. , 0.25932295], [ 0. , 4. , 0.25932295], [ 0. , 5. , 0.21796092], [ 0. , 6. , 0.18868023], [ 0. , 7. , 0.16700047], [ 0. , 8. , 0.25932295], [ 0. , 9. , 0.21855462], [ 0. , 10. , 0.20073455], [ 0. , 11. , 0.20073455], [ 0. , 12. , 0.20073455], [ 0. , 13. , 0.20073455], [ 0. , 14. , 0.17614268], [ 0. , 15. , 0.19785406], [ 0. , 16. , 0.17768529], [ 0. , 17. , 0.17933297]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.20601356], [ 0. , 3. , 0.20601356], [ 0. , 4. , 0.20601356], [ 0. , 5. , 0.2060012 ], [ 0. , 6. , 0.20601356], [ 0. , 7. , 0.19856776], [ 0. , 8. , 0.20400169], [ 0. , 9. , 0.19581083], [ 0. , 10. , 0.17295514], [ 0. , 11. , 0.17295514], [ 0. , 12. , 0.17126357], [ 0. , 13. , 0.15809886], [ 0. , 14. , 0.15228181], [ 0. , 15. , 0.17148423], [ 0. , 16. , 0.17295514], [ 0. , 17. , 0.17295514]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='0', correlation='ABS_LR', scan='4', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='0', correlation='ABS_LR', scan='4', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.24760459], [ 0. , 3. , 0.24760459], [ 0. , 4. , 0.24760459], [ 0. , 5. , 0.20932388], [ 0. , 6. , 0.18966288], [ 0. , 7. , 0.16605657], [ 0. , 8. , 0.24760459], [ 0. , 9. , 0.21185236], [ 0. , 10. , 0.21110647], [ 0. , 11. , 0.21110647], [ 0. , 12. , 0.21110647], [ 0. , 13. , 0.20941219], [ 0. , 14. , 0.19926426], [ 0. , 15. , 0.21110647], [ 0. , 16. , 0.17425751], [ 0. , 17. , 0.19485806]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.20253451], [ 0. , 3. , 0.20253451], [ 0. , 4. , 0.20253451], [ 0. , 5. , 0.20064622], [ 0. , 6. , 0.20198123], [ 0. , 7. , 0.1970386 ], [ 0. , 8. , 0.20253451], [ 0. , 9. , 0.19397531], [ 0. , 10. , 0.17426999], [ 0. , 11. , 0.17426999], [ 0. , 12. , 0.1692625 ], [ 0. , 13. , 0.15741507], [ 0. , 14. , 0.15228768], [ 0. , 15. , 0.17369373], [ 0. , 16. , 0.17426999], [ 0. , 17. , 0.17426999]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.24760459], [ 0. , 3. , 0.24760459], [ 0. , 4. , 0.24760459], [ 0. , 5. , 0.20932388], [ 0. , 6. , 0.18966288], [ 0. , 7. , 0.16605657], [ 0. , 8. , 0.24760459], [ 0. , 9. , 0.21185236], [ 0. , 10. , 0.21110647], [ 0. , 11. , 0.21110647], [ 0. , 12. , 0.21110647], [ 0. , 13. , 0.20941219], [ 0. , 14. , 0.19926426], [ 0. , 15. , 0.21110647], [ 0. , 16. , 0.17425751], [ 0. , 17. , 0.19485806]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.20253451], [ 0. , 3. , 0.20253451], [ 0. , 4. , 0.20253451], [ 0. , 5. , 0.20064622], [ 0. , 6. , 0.20198123], [ 0. , 7. , 0.1970386 ], [ 0. , 8. , 0.20253451], [ 0. , 9. , 0.19397531], [ 0. , 10. , 0.17426999], [ 0. , 11. , 0.17426999], [ 0. , 12. , 0.1692625 ], [ 0. , 13. , 0.15741507], [ 0. , 14. , 0.15228768], [ 0. , 15. , 0.17369373], [ 0. , 16. , 0.17426999], [ 0. , 17. , 0.17426999]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='0', correlation='REAL_RR', scan='4', datacolumn='residual', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='0', correlation='REAL_RR', scan='4', datacolumn='residual', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.27519157], [ 0. , 3. , 0.27519157], [ 0. , 4. , 0.26399539], [ 0. , 5. , 0.27519157], [ 0. , 6. , 0.27519157], [ 0. , 7. , 0.2716383 ], [ 0. , 8. , 0.26447876], [ 0. , 9. , 0.25168341], [ 0. , 10. , 0.20942676], [ 0. , 11. , 0.20942676], [ 0. , 12. , 0.20942676], [ 0. , 13. , 0.20822823], [ 0. , 14. , 0.20261208], [ 0. , 15. , 0.20811168], [ 0. , 16. , 0.20942676], [ 0. , 17. , 0.20661987]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.31554905], [ 0. , 3. , 0.31554905], [ 0. , 4. , 0.30439985], [ 0. , 5. , 0.31554905], [ 0. , 6. , 0.31554905], [ 0. , 7. , 0.31275962], [ 0. , 8. , 0.30474262], [ 0. , 9. , 0.29150041], [ 0. , 10. , 0.24838928], [ 0. , 11. , 0.24838928], [ 0. , 12. , 0.24838928], [ 0. , 13. , 0.24212365], [ 0. , 14. , 0.23541441], [ 0. , 15. , 0.24823036], [ 0. , 16. , 0.24838928], [ 0. , 17. , 0.24669694]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.27519157], [ 0. , 3. , 0.27519157], [ 0. , 4. , 0.26399539], [ 0. , 5. , 0.27519157], [ 0. , 6. , 0.27519157], [ 0. , 7. , 0.2716383 ], [ 0. , 8. , 0.26447876], [ 0. , 9. , 0.25168341], [ 0. , 10. , 0.20942676], [ 0. , 11. , 0.20942676], [ 0. , 12. , 0.20942676], [ 0. , 13. , 0.20822823], [ 0. , 14. , 0.20261208], [ 0. , 15. , 0.20811168], [ 0. , 16. , 0.20942676], [ 0. , 17. , 0.20661987]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.31554905], [ 0. , 3. , 0.31554905], [ 0. , 4. , 0.30439985], [ 0. , 5. , 0.31554905], [ 0. , 6. , 0.31554905], [ 0. , 7. , 0.31275962], [ 0. , 8. , 0.30474262], [ 0. , 9. , 0.29150041], [ 0. , 10. , 0.24838928], [ 0. , 11. , 0.24838928], [ 0. , 12. , 0.24838928], [ 0. , 13. , 0.24212365], [ 0. , 14. , 0.23541441], [ 0. , 15. , 0.24823036], [ 0. , 16. , 0.24838928], [ 0. , 17. , 0.24669694]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='0', correlation='REAL_LL', scan='4', datacolumn='residual', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='0', correlation='REAL_LL', scan='4', datacolumn='residual', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.27540426], [ 0. , 3. , 0.27540426], [ 0. , 4. , 0.2611179 ], [ 0. , 5. , 0.27540426], [ 0. , 6. , 0.27540426], [ 0. , 7. , 0.27086397], [ 0. , 8. , 0.26515114], [ 0. , 9. , 0.25517439], [ 0. , 10. , 0.2640216 ], [ 0. , 11. , 0.2640216 ], [ 0. , 12. , 0.2640216 ], [ 0. , 13. , 0.2640216 ], [ 0. , 14. , 0.25913097], [ 0. , 15. , 0.25970576], [ 0. , 16. , 0.25833232], [ 0. , 17. , 0.25340241]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.31573957], [ 0. , 3. , 0.31573957], [ 0. , 4. , 0.31573957], [ 0. , 5. , 0.31465041], [ 0. , 6. , 0.31573957], [ 0. , 7. , 0.31039354], [ 0. , 8. , 0.30381792], [ 0. , 9. , 0.29491843], [ 0. , 10. , 0.24782803], [ 0. , 11. , 0.24782803], [ 0. , 12. , 0.24782803], [ 0. , 13. , 0.24312773], [ 0. , 14. , 0.23546969], [ 0. , 15. , 0.24134309], [ 0. , 16. , 0.24782803], [ 0. , 17. , 0.24187898]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.27540426], [ 0. , 3. , 0.27540426], [ 0. , 4. , 0.2611179 ], [ 0. , 5. , 0.27540426], [ 0. , 6. , 0.27540426], [ 0. , 7. , 0.27086397], [ 0. , 8. , 0.26515114], [ 0. , 9. , 0.25517439], [ 0. , 10. , 0.2640216 ], [ 0. , 11. , 0.2640216 ], [ 0. , 12. , 0.2640216 ], [ 0. , 13. , 0.2640216 ], [ 0. , 14. , 0.25913097], [ 0. , 15. , 0.25970576], [ 0. , 16. , 0.25833232], [ 0. , 17. , 0.25340241]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.31573957], [ 0. , 3. , 0.31573957], [ 0. , 4. , 0.31573957], [ 0. , 5. , 0.31465041], [ 0. , 6. , 0.31573957], [ 0. , 7. , 0.31039354], [ 0. , 8. , 0.30381792], [ 0. , 9. , 0.29491843], [ 0. , 10. , 0.24782803], [ 0. , 11. , 0.24782803], [ 0. , 12. , 0.24782803], [ 0. , 13. , 0.24312773], [ 0. , 14. , 0.23546969], [ 0. , 15. , 0.24134309], [ 0. , 16. , 0.24782803], [ 0. , 17. , 0.24187898]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', field='0', correlation='ABS_LR', scan='4', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', field='0', correlation='ABS_RL', scan='4', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', field='0', correlation='ABS_LL', scan='4', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', field='0', correlation='ABS_RR', scan='4', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=True, flagneartime=True, flagnearfreq=True, action='apply', flagbackup=False, savepars=False) # hifv_semiFinalBPdcals(refantignore='ea14') # # No comment registered for hifv_semiFinalBPdcals # gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_semiFinalBPdcals.s10_1.semiFinaldelayinitialgain.tbl', field='0', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea11,ea17,ea25,ea23,ea08,ea19,ea06,ea20,ea07,ea16,ea10,ea12,ea01,ea21,ea15,ea03,ea13,ea09,ea22,ea28,ea26,ea27,ea05,ea18', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_semiFinalBPdcals.s10_2.delay.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', preavg=-1.0, refant='ea24,ea04,ea11,ea17,ea25,ea23,ea08,ea19,ea06,ea20,ea07,ea16,ea10,ea12,ea01,ea21,ea15,ea03,ea13,ea09,ea22,ea28,ea26,ea27,ea05,ea18', minblperant=4, minsnr=3.0, solnorm=False, gaintype='K', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_semiFinalBPdcals.s10_1.semiFinaldelayinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_semiFinalBPdcals.s10_3.BPinitialgain.tbl', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea11,ea17,ea25,ea23,ea08,ea19,ea06,ea20,ea07,ea16,ea10,ea12,ea01,ea21,ea15,ea03,ea13,ea09,ea22,ea28,ea26,ea27,ea05,ea18', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_semiFinalBPdcals.s10_2.delay.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) bandpass(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_semiFinalBPdcals.s10_4.BPcal.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', refant='ea24,ea04,ea11,ea17,ea25,ea23,ea08,ea19,ea06,ea20,ea07,ea16,ea10,ea12,ea01,ea21,ea15,ea03,ea13,ea09,ea22,ea28,ea26,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=False, bandtype='B', smodel=[], append=False, fillgaps=0, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_semiFinalBPdcals.s10_2.delay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_semiFinalBPdcals.s10_3.BPinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) applycal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', selectdata=True, scan='4,5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_semiFinalBPdcals.s10_2.delay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_semiFinalBPdcals.s10_4.BPcal.tbl'], gainfield=[''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag'], spwmap=[], calwt=[False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=True) # hifv_checkflag(checkflagmode='allcals-vlass') # # No comment registered for hifv_checkflag # flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='summary') flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='1,2,4266', correlation='ABS_RL', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='1,2,4266', correlation='ABS_RL', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.67625105e-01], [ 1.00000000e+00, 3.00000000e+00, 1.67625105e-01], [ 1.00000000e+00, 4.00000000e+00, 1.67625105e-01], [ 1.00000000e+00, 5.00000000e+00, 1.67625105e-01], [ 1.00000000e+00, 6.00000000e+00, 1.55014405e-01], [ 1.00000000e+00, 7.00000000e+00, 1.52802359e-01], [ 1.00000000e+00, 8.00000000e+00, 1.64663590e-01], [ 1.00000000e+00, 9.00000000e+00, 1.48654742e-01], [ 1.00000000e+00, 1.00000000e+01, 1.40625897e-01], [ 1.00000000e+00, 1.10000000e+01, 1.45794961e-01], [ 1.00000000e+00, 1.20000000e+01, 1.30879947e-01], [ 1.00000000e+00, 1.30000000e+01, 4.17668482e-01], [ 1.00000000e+00, 1.40000000e+01, 4.90277440e-01], [ 1.00000000e+00, 1.50000000e+01, 4.90277440e-01], [ 1.00000000e+00, 1.60000000e+01, 4.90277440e-01], [ 1.00000000e+00, 1.70000000e+01, 4.90277440e-01], [ 2.00000000e+00, 2.00000000e+00, 1.48959731e-01], [ 2.00000000e+00, 3.00000000e+00, 1.48959731e-01], [ 2.00000000e+00, 4.00000000e+00, 1.48959731e-01], [ 2.00000000e+00, 5.00000000e+00, 1.46407208e-01], [ 2.00000000e+00, 6.00000000e+00, 1.48959731e-01], [ 2.00000000e+00, 7.00000000e+00, 1.46159721e-01], [ 2.00000000e+00, 8.00000000e+00, 1.47636196e-01], [ 2.00000000e+00, 9.00000000e+00, 1.41854376e-01], [ 2.00000000e+00, 1.00000000e+01, 1.26249687e-01], [ 2.00000000e+00, 1.10000000e+01, 1.21307485e-01], [ 2.00000000e+00, 1.20000000e+01, 1.19485844e-01], [ 2.00000000e+00, 1.30000000e+01, 1.11895808e-01], [ 2.00000000e+00, 1.40000000e+01, 1.11040859e-01], [ 2.00000000e+00, 1.50000000e+01, 1.26249687e-01], [ 2.00000000e+00, 1.60000000e+01, 1.26249687e-01], [ 2.00000000e+00, 1.70000000e+01, 1.26249687e-01], [ 4.26600000e+03, 2.00000000e+00, 1.47542812e-01], [ 4.26600000e+03, 3.00000000e+00, 1.47542812e-01], [ 4.26600000e+03, 4.00000000e+00, 1.47542812e-01], [ 4.26600000e+03, 5.00000000e+00, 1.47542812e-01], [ 4.26600000e+03, 6.00000000e+00, 1.46710021e-01], [ 4.26600000e+03, 7.00000000e+00, 1.42884399e-01], [ 4.26600000e+03, 8.00000000e+00, 1.45264100e-01], [ 4.26600000e+03, 9.00000000e+00, 1.38547850e-01], [ 4.26600000e+03, 1.00000000e+01, 1.26457488e-01], [ 4.26600000e+03, 1.10000000e+01, 1.22882823e-01], [ 4.26600000e+03, 1.20000000e+01, 1.18977992e-01], [ 4.26600000e+03, 1.30000000e+01, 1.13791718e-01], [ 4.26600000e+03, 1.40000000e+01, 1.16261078e-01], [ 4.26600000e+03, 1.50000000e+01, 1.26457488e-01], [ 4.26600000e+03, 1.60000000e+01, 1.26457488e-01], [ 4.26600000e+03, 1.70000000e+01, 1.26457488e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.14001529e-01], [ 1.00000000e+00, 3.00000000e+00, 2.14001529e-01], [ 1.00000000e+00, 4.00000000e+00, 2.14001529e-01], [ 1.00000000e+00, 5.00000000e+00, 2.14001529e-01], [ 1.00000000e+00, 6.00000000e+00, 2.10284595e-01], [ 1.00000000e+00, 7.00000000e+00, 2.05425536e-01], [ 1.00000000e+00, 8.00000000e+00, 2.10246410e-01], [ 1.00000000e+00, 9.00000000e+00, 1.99618633e-01], [ 1.00000000e+00, 1.00000000e+01, 1.85448608e-01], [ 1.00000000e+00, 1.10000000e+01, 1.73165349e-01], [ 1.00000000e+00, 1.20000000e+01, 1.70988139e-01], [ 1.00000000e+00, 1.30000000e+01, 3.71825071e-01], [ 1.00000000e+00, 1.40000000e+01, 4.31754415e-01], [ 1.00000000e+00, 1.50000000e+01, 4.31754415e-01], [ 1.00000000e+00, 1.60000000e+01, 4.31754415e-01], [ 1.00000000e+00, 1.70000000e+01, 4.31754415e-01], [ 2.00000000e+00, 2.00000000e+00, 2.04741178e-01], [ 2.00000000e+00, 3.00000000e+00, 2.04741178e-01], [ 2.00000000e+00, 4.00000000e+00, 2.04741178e-01], [ 2.00000000e+00, 5.00000000e+00, 1.98347058e-01], [ 2.00000000e+00, 6.00000000e+00, 2.04741178e-01], [ 2.00000000e+00, 7.00000000e+00, 2.01230332e-01], [ 2.00000000e+00, 8.00000000e+00, 2.02829344e-01], [ 2.00000000e+00, 9.00000000e+00, 1.95618795e-01], [ 2.00000000e+00, 1.00000000e+01, 1.72937493e-01], [ 2.00000000e+00, 1.10000000e+01, 1.66928831e-01], [ 2.00000000e+00, 1.20000000e+01, 1.64626122e-01], [ 2.00000000e+00, 1.30000000e+01, 1.53415341e-01], [ 2.00000000e+00, 1.40000000e+01, 1.49773655e-01], [ 2.00000000e+00, 1.50000000e+01, 1.72937493e-01], [ 2.00000000e+00, 1.60000000e+01, 1.72937493e-01], [ 2.00000000e+00, 1.70000000e+01, 1.72937493e-01], [ 4.26600000e+03, 2.00000000e+00, 2.02483074e-01], [ 4.26600000e+03, 3.00000000e+00, 2.02483074e-01], [ 4.26600000e+03, 4.00000000e+00, 2.02483074e-01], [ 4.26600000e+03, 5.00000000e+00, 2.01935221e-01], [ 4.26600000e+03, 6.00000000e+00, 2.02483074e-01], [ 4.26600000e+03, 7.00000000e+00, 1.97595927e-01], [ 4.26600000e+03, 8.00000000e+00, 2.01157477e-01], [ 4.26600000e+03, 9.00000000e+00, 1.92106032e-01], [ 4.26600000e+03, 1.00000000e+01, 1.74352418e-01], [ 4.26600000e+03, 1.10000000e+01, 1.68179105e-01], [ 4.26600000e+03, 1.20000000e+01, 1.65950150e-01], [ 4.26600000e+03, 1.30000000e+01, 1.57113131e-01], [ 4.26600000e+03, 1.40000000e+01, 1.55095498e-01], [ 4.26600000e+03, 1.50000000e+01, 1.74352418e-01], [ 4.26600000e+03, 1.60000000e+01, 1.74352418e-01], [ 4.26600000e+03, 1.70000000e+01, 1.74352418e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.67625105e-01], [ 1.00000000e+00, 3.00000000e+00, 1.67625105e-01], [ 1.00000000e+00, 4.00000000e+00, 1.67625105e-01], [ 1.00000000e+00, 5.00000000e+00, 1.67625105e-01], [ 1.00000000e+00, 6.00000000e+00, 1.55014405e-01], [ 1.00000000e+00, 7.00000000e+00, 1.52802359e-01], [ 1.00000000e+00, 8.00000000e+00, 1.64663590e-01], [ 1.00000000e+00, 9.00000000e+00, 1.48654742e-01], [ 1.00000000e+00, 1.00000000e+01, 1.40625897e-01], [ 1.00000000e+00, 1.10000000e+01, 1.45794961e-01], [ 1.00000000e+00, 1.20000000e+01, 1.30879947e-01], [ 1.00000000e+00, 1.30000000e+01, 4.17668482e-01], [ 1.00000000e+00, 1.40000000e+01, 4.90277440e-01], [ 1.00000000e+00, 1.50000000e+01, 4.90277440e-01], [ 1.00000000e+00, 1.60000000e+01, 4.90277440e-01], [ 1.00000000e+00, 1.70000000e+01, 4.90277440e-01], [ 2.00000000e+00, 2.00000000e+00, 1.48959731e-01], [ 2.00000000e+00, 3.00000000e+00, 1.48959731e-01], [ 2.00000000e+00, 4.00000000e+00, 1.48959731e-01], [ 2.00000000e+00, 5.00000000e+00, 1.46407208e-01], [ 2.00000000e+00, 6.00000000e+00, 1.48959731e-01], [ 2.00000000e+00, 7.00000000e+00, 1.46159721e-01], [ 2.00000000e+00, 8.00000000e+00, 1.47636196e-01], [ 2.00000000e+00, 9.00000000e+00, 1.41854376e-01], [ 2.00000000e+00, 1.00000000e+01, 1.26249687e-01], [ 2.00000000e+00, 1.10000000e+01, 1.21307485e-01], [ 2.00000000e+00, 1.20000000e+01, 1.19485844e-01], [ 2.00000000e+00, 1.30000000e+01, 1.11895808e-01], [ 2.00000000e+00, 1.40000000e+01, 1.11040859e-01], [ 2.00000000e+00, 1.50000000e+01, 1.26249687e-01], [ 2.00000000e+00, 1.60000000e+01, 1.26249687e-01], [ 2.00000000e+00, 1.70000000e+01, 1.26249687e-01], [ 4.26600000e+03, 2.00000000e+00, 1.47542812e-01], [ 4.26600000e+03, 3.00000000e+00, 1.47542812e-01], [ 4.26600000e+03, 4.00000000e+00, 1.47542812e-01], [ 4.26600000e+03, 5.00000000e+00, 1.47542812e-01], [ 4.26600000e+03, 6.00000000e+00, 1.46710021e-01], [ 4.26600000e+03, 7.00000000e+00, 1.42884399e-01], [ 4.26600000e+03, 8.00000000e+00, 1.45264100e-01], [ 4.26600000e+03, 9.00000000e+00, 1.38547850e-01], [ 4.26600000e+03, 1.00000000e+01, 1.26457488e-01], [ 4.26600000e+03, 1.10000000e+01, 1.22882823e-01], [ 4.26600000e+03, 1.20000000e+01, 1.18977992e-01], [ 4.26600000e+03, 1.30000000e+01, 1.13791718e-01], [ 4.26600000e+03, 1.40000000e+01, 1.16261078e-01], [ 4.26600000e+03, 1.50000000e+01, 1.26457488e-01], [ 4.26600000e+03, 1.60000000e+01, 1.26457488e-01], [ 4.26600000e+03, 1.70000000e+01, 1.26457488e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.14001529e-01], [ 1.00000000e+00, 3.00000000e+00, 2.14001529e-01], [ 1.00000000e+00, 4.00000000e+00, 2.14001529e-01], [ 1.00000000e+00, 5.00000000e+00, 2.14001529e-01], [ 1.00000000e+00, 6.00000000e+00, 2.10284595e-01], [ 1.00000000e+00, 7.00000000e+00, 2.05425536e-01], [ 1.00000000e+00, 8.00000000e+00, 2.10246410e-01], [ 1.00000000e+00, 9.00000000e+00, 1.99618633e-01], [ 1.00000000e+00, 1.00000000e+01, 1.85448608e-01], [ 1.00000000e+00, 1.10000000e+01, 1.73165349e-01], [ 1.00000000e+00, 1.20000000e+01, 1.70988139e-01], [ 1.00000000e+00, 1.30000000e+01, 3.71825071e-01], [ 1.00000000e+00, 1.40000000e+01, 4.31754415e-01], [ 1.00000000e+00, 1.50000000e+01, 4.31754415e-01], [ 1.00000000e+00, 1.60000000e+01, 4.31754415e-01], [ 1.00000000e+00, 1.70000000e+01, 4.31754415e-01], [ 2.00000000e+00, 2.00000000e+00, 2.04741178e-01], [ 2.00000000e+00, 3.00000000e+00, 2.04741178e-01], [ 2.00000000e+00, 4.00000000e+00, 2.04741178e-01], [ 2.00000000e+00, 5.00000000e+00, 1.98347058e-01], [ 2.00000000e+00, 6.00000000e+00, 2.04741178e-01], [ 2.00000000e+00, 7.00000000e+00, 2.01230332e-01], [ 2.00000000e+00, 8.00000000e+00, 2.02829344e-01], [ 2.00000000e+00, 9.00000000e+00, 1.95618795e-01], [ 2.00000000e+00, 1.00000000e+01, 1.72937493e-01], [ 2.00000000e+00, 1.10000000e+01, 1.66928831e-01], [ 2.00000000e+00, 1.20000000e+01, 1.64626122e-01], [ 2.00000000e+00, 1.30000000e+01, 1.53415341e-01], [ 2.00000000e+00, 1.40000000e+01, 1.49773655e-01], [ 2.00000000e+00, 1.50000000e+01, 1.72937493e-01], [ 2.00000000e+00, 1.60000000e+01, 1.72937493e-01], [ 2.00000000e+00, 1.70000000e+01, 1.72937493e-01], [ 4.26600000e+03, 2.00000000e+00, 2.02483074e-01], [ 4.26600000e+03, 3.00000000e+00, 2.02483074e-01], [ 4.26600000e+03, 4.00000000e+00, 2.02483074e-01], [ 4.26600000e+03, 5.00000000e+00, 2.01935221e-01], [ 4.26600000e+03, 6.00000000e+00, 2.02483074e-01], [ 4.26600000e+03, 7.00000000e+00, 1.97595927e-01], [ 4.26600000e+03, 8.00000000e+00, 2.01157477e-01], [ 4.26600000e+03, 9.00000000e+00, 1.92106032e-01], [ 4.26600000e+03, 1.00000000e+01, 1.74352418e-01], [ 4.26600000e+03, 1.10000000e+01, 1.68179105e-01], [ 4.26600000e+03, 1.20000000e+01, 1.65950150e-01], [ 4.26600000e+03, 1.30000000e+01, 1.57113131e-01], [ 4.26600000e+03, 1.40000000e+01, 1.55095498e-01], [ 4.26600000e+03, 1.50000000e+01, 1.74352418e-01], [ 4.26600000e+03, 1.60000000e+01, 1.74352418e-01], [ 4.26600000e+03, 1.70000000e+01, 1.74352418e-01]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='1,2,4266', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='1,2,4266', correlation='ABS_LR', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='1,2,4266', correlation='ABS_LR', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.63544762e-01], [ 1.00000000e+00, 3.00000000e+00, 1.63544762e-01], [ 1.00000000e+00, 4.00000000e+00, 1.63544762e-01], [ 1.00000000e+00, 5.00000000e+00, 1.60669512e-01], [ 1.00000000e+00, 6.00000000e+00, 1.55035023e-01], [ 1.00000000e+00, 7.00000000e+00, 1.50814675e-01], [ 1.00000000e+00, 8.00000000e+00, 1.63544762e-01], [ 1.00000000e+00, 9.00000000e+00, 1.48710440e-01], [ 1.00000000e+00, 1.00000000e+01, 1.42760188e-01], [ 1.00000000e+00, 1.10000000e+01, 1.43776319e-01], [ 1.00000000e+00, 1.20000000e+01, 1.32239508e-01], [ 1.00000000e+00, 1.30000000e+01, 2.51824435e-01], [ 1.00000000e+00, 1.40000000e+01, 2.78252260e-01], [ 1.00000000e+00, 1.50000000e+01, 2.78252260e-01], [ 1.00000000e+00, 1.60000000e+01, 2.78252260e-01], [ 1.00000000e+00, 1.70000000e+01, 2.78252260e-01], [ 2.00000000e+00, 2.00000000e+00, 1.48331833e-01], [ 2.00000000e+00, 3.00000000e+00, 1.48331833e-01], [ 2.00000000e+00, 4.00000000e+00, 1.48331833e-01], [ 2.00000000e+00, 5.00000000e+00, 1.42471268e-01], [ 2.00000000e+00, 6.00000000e+00, 1.48331833e-01], [ 2.00000000e+00, 7.00000000e+00, 1.45416355e-01], [ 2.00000000e+00, 8.00000000e+00, 1.47121280e-01], [ 2.00000000e+00, 9.00000000e+00, 1.40310788e-01], [ 2.00000000e+00, 1.00000000e+01, 1.25192738e-01], [ 2.00000000e+00, 1.10000000e+01, 1.20273518e-01], [ 2.00000000e+00, 1.20000000e+01, 1.18595869e-01], [ 2.00000000e+00, 1.30000000e+01, 1.10973989e-01], [ 2.00000000e+00, 1.40000000e+01, 1.09365197e-01], [ 2.00000000e+00, 1.50000000e+01, 1.25192738e-01], [ 2.00000000e+00, 1.60000000e+01, 1.25192738e-01], [ 2.00000000e+00, 1.70000000e+01, 1.25192738e-01], [ 4.26600000e+03, 2.00000000e+00, 1.44892442e-01], [ 4.26600000e+03, 3.00000000e+00, 1.44892442e-01], [ 4.26600000e+03, 4.00000000e+00, 1.44892442e-01], [ 4.26600000e+03, 5.00000000e+00, 1.40854405e-01], [ 4.26600000e+03, 6.00000000e+00, 1.44892442e-01], [ 4.26600000e+03, 7.00000000e+00, 1.42173093e-01], [ 4.26600000e+03, 8.00000000e+00, 1.44772720e-01], [ 4.26600000e+03, 9.00000000e+00, 1.37403768e-01], [ 4.26600000e+03, 1.00000000e+01, 1.25790465e-01], [ 4.26600000e+03, 1.10000000e+01, 1.21966099e-01], [ 4.26600000e+03, 1.20000000e+01, 1.19020774e-01], [ 4.26600000e+03, 1.30000000e+01, 1.12956500e-01], [ 4.26600000e+03, 1.40000000e+01, 1.11985048e-01], [ 4.26600000e+03, 1.50000000e+01, 1.25790465e-01], [ 4.26600000e+03, 1.60000000e+01, 1.25790465e-01], [ 4.26600000e+03, 1.70000000e+01, 1.25790465e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.08095950e-01], [ 1.00000000e+00, 3.00000000e+00, 2.08095950e-01], [ 1.00000000e+00, 4.00000000e+00, 2.08095950e-01], [ 1.00000000e+00, 5.00000000e+00, 2.03102445e-01], [ 1.00000000e+00, 6.00000000e+00, 2.08095950e-01], [ 1.00000000e+00, 7.00000000e+00, 2.03478495e-01], [ 1.00000000e+00, 8.00000000e+00, 2.08074547e-01], [ 1.00000000e+00, 9.00000000e+00, 1.96531438e-01], [ 1.00000000e+00, 1.00000000e+01, 1.83714163e-01], [ 1.00000000e+00, 1.10000000e+01, 1.71908656e-01], [ 1.00000000e+00, 1.20000000e+01, 1.69969673e-01], [ 1.00000000e+00, 1.30000000e+01, 2.73587449e-01], [ 1.00000000e+00, 1.40000000e+01, 2.98591831e-01], [ 1.00000000e+00, 1.50000000e+01, 2.98591831e-01], [ 1.00000000e+00, 1.60000000e+01, 2.98591831e-01], [ 1.00000000e+00, 1.70000000e+01, 2.98591831e-01], [ 2.00000000e+00, 2.00000000e+00, 2.02850689e-01], [ 2.00000000e+00, 3.00000000e+00, 2.02850689e-01], [ 2.00000000e+00, 4.00000000e+00, 2.02850689e-01], [ 2.00000000e+00, 5.00000000e+00, 1.88717561e-01], [ 2.00000000e+00, 6.00000000e+00, 2.02850689e-01], [ 2.00000000e+00, 7.00000000e+00, 1.99693223e-01], [ 2.00000000e+00, 8.00000000e+00, 2.01115912e-01], [ 2.00000000e+00, 9.00000000e+00, 1.92452998e-01], [ 2.00000000e+00, 1.00000000e+01, 1.71906582e-01], [ 2.00000000e+00, 1.10000000e+01, 1.65601968e-01], [ 2.00000000e+00, 1.20000000e+01, 1.63207547e-01], [ 2.00000000e+00, 1.30000000e+01, 1.51666514e-01], [ 2.00000000e+00, 1.40000000e+01, 1.47753201e-01], [ 2.00000000e+00, 1.50000000e+01, 1.71906582e-01], [ 2.00000000e+00, 1.60000000e+01, 1.71906582e-01], [ 2.00000000e+00, 1.70000000e+01, 1.71906582e-01], [ 4.26600000e+03, 2.00000000e+00, 1.97832051e-01], [ 4.26600000e+03, 3.00000000e+00, 1.87194162e-01], [ 4.26600000e+03, 4.00000000e+00, 1.97832051e-01], [ 4.26600000e+03, 5.00000000e+00, 1.90169148e-01], [ 4.26600000e+03, 6.00000000e+00, 1.97832051e-01], [ 4.26600000e+03, 7.00000000e+00, 1.96261958e-01], [ 4.26600000e+03, 8.00000000e+00, 1.97832051e-01], [ 4.26600000e+03, 9.00000000e+00, 1.89806275e-01], [ 4.26600000e+03, 1.00000000e+01, 1.72288362e-01], [ 4.26600000e+03, 1.10000000e+01, 1.66360175e-01], [ 4.26600000e+03, 1.20000000e+01, 1.64896489e-01], [ 4.26600000e+03, 1.30000000e+01, 1.55624403e-01], [ 4.26600000e+03, 1.40000000e+01, 1.52907655e-01], [ 4.26600000e+03, 1.50000000e+01, 1.72288362e-01], [ 4.26600000e+03, 1.60000000e+01, 1.72288362e-01], [ 4.26600000e+03, 1.70000000e+01, 1.72288362e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.63544762e-01], [ 1.00000000e+00, 3.00000000e+00, 1.63544762e-01], [ 1.00000000e+00, 4.00000000e+00, 1.63544762e-01], [ 1.00000000e+00, 5.00000000e+00, 1.60669512e-01], [ 1.00000000e+00, 6.00000000e+00, 1.55035023e-01], [ 1.00000000e+00, 7.00000000e+00, 1.50814675e-01], [ 1.00000000e+00, 8.00000000e+00, 1.63544762e-01], [ 1.00000000e+00, 9.00000000e+00, 1.48710440e-01], [ 1.00000000e+00, 1.00000000e+01, 1.42760188e-01], [ 1.00000000e+00, 1.10000000e+01, 1.43776319e-01], [ 1.00000000e+00, 1.20000000e+01, 1.32239508e-01], [ 1.00000000e+00, 1.30000000e+01, 2.51824435e-01], [ 1.00000000e+00, 1.40000000e+01, 2.78252260e-01], [ 1.00000000e+00, 1.50000000e+01, 2.78252260e-01], [ 1.00000000e+00, 1.60000000e+01, 2.78252260e-01], [ 1.00000000e+00, 1.70000000e+01, 2.78252260e-01], [ 2.00000000e+00, 2.00000000e+00, 1.48331833e-01], [ 2.00000000e+00, 3.00000000e+00, 1.48331833e-01], [ 2.00000000e+00, 4.00000000e+00, 1.48331833e-01], [ 2.00000000e+00, 5.00000000e+00, 1.42471268e-01], [ 2.00000000e+00, 6.00000000e+00, 1.48331833e-01], [ 2.00000000e+00, 7.00000000e+00, 1.45416355e-01], [ 2.00000000e+00, 8.00000000e+00, 1.47121280e-01], [ 2.00000000e+00, 9.00000000e+00, 1.40310788e-01], [ 2.00000000e+00, 1.00000000e+01, 1.25192738e-01], [ 2.00000000e+00, 1.10000000e+01, 1.20273518e-01], [ 2.00000000e+00, 1.20000000e+01, 1.18595869e-01], [ 2.00000000e+00, 1.30000000e+01, 1.10973989e-01], [ 2.00000000e+00, 1.40000000e+01, 1.09365197e-01], [ 2.00000000e+00, 1.50000000e+01, 1.25192738e-01], [ 2.00000000e+00, 1.60000000e+01, 1.25192738e-01], [ 2.00000000e+00, 1.70000000e+01, 1.25192738e-01], [ 4.26600000e+03, 2.00000000e+00, 1.44892442e-01], [ 4.26600000e+03, 3.00000000e+00, 1.44892442e-01], [ 4.26600000e+03, 4.00000000e+00, 1.44892442e-01], [ 4.26600000e+03, 5.00000000e+00, 1.40854405e-01], [ 4.26600000e+03, 6.00000000e+00, 1.44892442e-01], [ 4.26600000e+03, 7.00000000e+00, 1.42173093e-01], [ 4.26600000e+03, 8.00000000e+00, 1.44772720e-01], [ 4.26600000e+03, 9.00000000e+00, 1.37403768e-01], [ 4.26600000e+03, 1.00000000e+01, 1.25790465e-01], [ 4.26600000e+03, 1.10000000e+01, 1.21966099e-01], [ 4.26600000e+03, 1.20000000e+01, 1.19020774e-01], [ 4.26600000e+03, 1.30000000e+01, 1.12956500e-01], [ 4.26600000e+03, 1.40000000e+01, 1.11985048e-01], [ 4.26600000e+03, 1.50000000e+01, 1.25790465e-01], [ 4.26600000e+03, 1.60000000e+01, 1.25790465e-01], [ 4.26600000e+03, 1.70000000e+01, 1.25790465e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.08095950e-01], [ 1.00000000e+00, 3.00000000e+00, 2.08095950e-01], [ 1.00000000e+00, 4.00000000e+00, 2.08095950e-01], [ 1.00000000e+00, 5.00000000e+00, 2.03102445e-01], [ 1.00000000e+00, 6.00000000e+00, 2.08095950e-01], [ 1.00000000e+00, 7.00000000e+00, 2.03478495e-01], [ 1.00000000e+00, 8.00000000e+00, 2.08074547e-01], [ 1.00000000e+00, 9.00000000e+00, 1.96531438e-01], [ 1.00000000e+00, 1.00000000e+01, 1.83714163e-01], [ 1.00000000e+00, 1.10000000e+01, 1.71908656e-01], [ 1.00000000e+00, 1.20000000e+01, 1.69969673e-01], [ 1.00000000e+00, 1.30000000e+01, 2.73587449e-01], [ 1.00000000e+00, 1.40000000e+01, 2.98591831e-01], [ 1.00000000e+00, 1.50000000e+01, 2.98591831e-01], [ 1.00000000e+00, 1.60000000e+01, 2.98591831e-01], [ 1.00000000e+00, 1.70000000e+01, 2.98591831e-01], [ 2.00000000e+00, 2.00000000e+00, 2.02850689e-01], [ 2.00000000e+00, 3.00000000e+00, 2.02850689e-01], [ 2.00000000e+00, 4.00000000e+00, 2.02850689e-01], [ 2.00000000e+00, 5.00000000e+00, 1.88717561e-01], [ 2.00000000e+00, 6.00000000e+00, 2.02850689e-01], [ 2.00000000e+00, 7.00000000e+00, 1.99693223e-01], [ 2.00000000e+00, 8.00000000e+00, 2.01115912e-01], [ 2.00000000e+00, 9.00000000e+00, 1.92452998e-01], [ 2.00000000e+00, 1.00000000e+01, 1.71906582e-01], [ 2.00000000e+00, 1.10000000e+01, 1.65601968e-01], [ 2.00000000e+00, 1.20000000e+01, 1.63207547e-01], [ 2.00000000e+00, 1.30000000e+01, 1.51666514e-01], [ 2.00000000e+00, 1.40000000e+01, 1.47753201e-01], [ 2.00000000e+00, 1.50000000e+01, 1.71906582e-01], [ 2.00000000e+00, 1.60000000e+01, 1.71906582e-01], [ 2.00000000e+00, 1.70000000e+01, 1.71906582e-01], [ 4.26600000e+03, 2.00000000e+00, 1.97832051e-01], [ 4.26600000e+03, 3.00000000e+00, 1.87194162e-01], [ 4.26600000e+03, 4.00000000e+00, 1.97832051e-01], [ 4.26600000e+03, 5.00000000e+00, 1.90169148e-01], [ 4.26600000e+03, 6.00000000e+00, 1.97832051e-01], [ 4.26600000e+03, 7.00000000e+00, 1.96261958e-01], [ 4.26600000e+03, 8.00000000e+00, 1.97832051e-01], [ 4.26600000e+03, 9.00000000e+00, 1.89806275e-01], [ 4.26600000e+03, 1.00000000e+01, 1.72288362e-01], [ 4.26600000e+03, 1.10000000e+01, 1.66360175e-01], [ 4.26600000e+03, 1.20000000e+01, 1.64896489e-01], [ 4.26600000e+03, 1.30000000e+01, 1.55624403e-01], [ 4.26600000e+03, 1.40000000e+01, 1.52907655e-01], [ 4.26600000e+03, 1.50000000e+01, 1.72288362e-01], [ 4.26600000e+03, 1.60000000e+01, 1.72288362e-01], [ 4.26600000e+03, 1.70000000e+01, 1.72288362e-01]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='1,2,4266', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='1,2,4266', correlation='ABS_RR', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='1,2,4266', correlation='ABS_RR', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.65048375e-01], [ 1.00000000e+00, 3.00000000e+00, 1.65048375e-01], [ 1.00000000e+00, 4.00000000e+00, 1.65048375e-01], [ 1.00000000e+00, 5.00000000e+00, 1.65048375e-01], [ 1.00000000e+00, 6.00000000e+00, 1.57270632e-01], [ 1.00000000e+00, 7.00000000e+00, 1.63766338e-01], [ 1.00000000e+00, 8.00000000e+00, 1.63465217e-01], [ 1.00000000e+00, 9.00000000e+00, 1.54265022e-01], [ 1.00000000e+00, 1.00000000e+01, 1.46676220e-01], [ 1.00000000e+00, 1.10000000e+01, 1.40516044e-01], [ 1.00000000e+00, 1.20000000e+01, 1.32755394e-01], [ 1.00000000e+00, 1.30000000e+01, 1.83977100e-01], [ 1.00000000e+00, 1.40000000e+01, 2.14436620e-01], [ 1.00000000e+00, 1.50000000e+01, 2.14436620e-01], [ 1.00000000e+00, 1.60000000e+01, 2.14436620e-01], [ 1.00000000e+00, 1.70000000e+01, 2.14436620e-01], [ 2.00000000e+00, 2.00000000e+00, 1.48467652e-01], [ 2.00000000e+00, 3.00000000e+00, 1.48467652e-01], [ 2.00000000e+00, 4.00000000e+00, 1.48467652e-01], [ 2.00000000e+00, 5.00000000e+00, 1.46438468e-01], [ 2.00000000e+00, 6.00000000e+00, 1.48467652e-01], [ 2.00000000e+00, 7.00000000e+00, 1.45249231e-01], [ 2.00000000e+00, 8.00000000e+00, 1.46924929e-01], [ 2.00000000e+00, 9.00000000e+00, 1.39197757e-01], [ 2.00000000e+00, 1.00000000e+01, 1.26729907e-01], [ 2.00000000e+00, 1.10000000e+01, 1.22574957e-01], [ 2.00000000e+00, 1.20000000e+01, 1.19960008e-01], [ 2.00000000e+00, 1.30000000e+01, 1.15505387e-01], [ 2.00000000e+00, 1.40000000e+01, 1.12249744e-01], [ 2.00000000e+00, 1.50000000e+01, 1.26729907e-01], [ 2.00000000e+00, 1.60000000e+01, 1.26729907e-01], [ 2.00000000e+00, 1.70000000e+01, 1.26729907e-01], [ 4.26600000e+03, 2.00000000e+00, 1.44658358e-01], [ 4.26600000e+03, 3.00000000e+00, 1.44658358e-01], [ 4.26600000e+03, 4.00000000e+00, 1.44658358e-01], [ 4.26600000e+03, 5.00000000e+00, 1.42725826e-01], [ 4.26600000e+03, 6.00000000e+00, 1.44658358e-01], [ 4.26600000e+03, 7.00000000e+00, 1.42180604e-01], [ 4.26600000e+03, 8.00000000e+00, 1.43644990e-01], [ 4.26600000e+03, 9.00000000e+00, 1.36562757e-01], [ 4.26600000e+03, 1.00000000e+01, 1.25791053e-01], [ 4.26600000e+03, 1.10000000e+01, 1.22086563e-01], [ 4.26600000e+03, 1.20000000e+01, 1.18415145e-01], [ 4.26600000e+03, 1.30000000e+01, 1.14962973e-01], [ 4.26600000e+03, 1.40000000e+01, 1.12459083e-01], [ 4.26600000e+03, 1.50000000e+01, 1.25791053e-01], [ 4.26600000e+03, 1.60000000e+01, 1.25791053e-01], [ 4.26600000e+03, 1.70000000e+01, 1.25791053e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.13957375e-01], [ 1.00000000e+00, 3.00000000e+00, 2.13957375e-01], [ 1.00000000e+00, 4.00000000e+00, 2.13957375e-01], [ 1.00000000e+00, 5.00000000e+00, 2.13757962e-01], [ 1.00000000e+00, 6.00000000e+00, 2.12968319e-01], [ 1.00000000e+00, 7.00000000e+00, 2.13957375e-01], [ 1.00000000e+00, 8.00000000e+00, 2.10807133e-01], [ 1.00000000e+00, 9.00000000e+00, 2.03179267e-01], [ 1.00000000e+00, 1.00000000e+01, 1.89722326e-01], [ 1.00000000e+00, 1.10000000e+01, 1.83093987e-01], [ 1.00000000e+00, 1.20000000e+01, 1.72638267e-01], [ 1.00000000e+00, 1.30000000e+01, 2.28260411e-01], [ 1.00000000e+00, 1.40000000e+01, 2.64496889e-01], [ 1.00000000e+00, 1.50000000e+01, 2.64496889e-01], [ 1.00000000e+00, 1.60000000e+01, 2.64496889e-01], [ 1.00000000e+00, 1.70000000e+01, 2.64496889e-01], [ 2.00000000e+00, 2.00000000e+00, 2.02195181e-01], [ 2.00000000e+00, 3.00000000e+00, 2.02195181e-01], [ 2.00000000e+00, 4.00000000e+00, 2.02195181e-01], [ 2.00000000e+00, 5.00000000e+00, 1.91925581e-01], [ 2.00000000e+00, 6.00000000e+00, 2.02195181e-01], [ 2.00000000e+00, 7.00000000e+00, 1.98355155e-01], [ 2.00000000e+00, 8.00000000e+00, 1.99931776e-01], [ 2.00000000e+00, 9.00000000e+00, 1.90845445e-01], [ 2.00000000e+00, 1.00000000e+01, 1.73489240e-01], [ 2.00000000e+00, 1.10000000e+01, 1.67728282e-01], [ 2.00000000e+00, 1.20000000e+01, 1.64750250e-01], [ 2.00000000e+00, 1.30000000e+01, 1.56649168e-01], [ 2.00000000e+00, 1.40000000e+01, 1.50246831e-01], [ 2.00000000e+00, 1.50000000e+01, 1.73489240e-01], [ 2.00000000e+00, 1.60000000e+01, 1.73489240e-01], [ 2.00000000e+00, 1.70000000e+01, 1.73489240e-01], [ 4.26600000e+03, 2.00000000e+00, 1.96383931e-01], [ 4.26600000e+03, 3.00000000e+00, 1.90353017e-01], [ 4.26600000e+03, 4.00000000e+00, 1.96383931e-01], [ 4.26600000e+03, 5.00000000e+00, 1.91445108e-01], [ 4.26600000e+03, 6.00000000e+00, 1.96383931e-01], [ 4.26600000e+03, 7.00000000e+00, 1.95181900e-01], [ 4.26600000e+03, 8.00000000e+00, 1.96383931e-01], [ 4.26600000e+03, 9.00000000e+00, 1.88802979e-01], [ 4.26600000e+03, 1.00000000e+01, 1.72750784e-01], [ 4.26600000e+03, 1.10000000e+01, 1.67359633e-01], [ 4.26600000e+03, 1.20000000e+01, 1.63860577e-01], [ 4.26600000e+03, 1.30000000e+01, 1.56661322e-01], [ 4.26600000e+03, 1.40000000e+01, 1.52665234e-01], [ 4.26600000e+03, 1.50000000e+01, 1.72750784e-01], [ 4.26600000e+03, 1.60000000e+01, 1.72750784e-01], [ 4.26600000e+03, 1.70000000e+01, 1.72750784e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.65048375e-01], [ 1.00000000e+00, 3.00000000e+00, 1.65048375e-01], [ 1.00000000e+00, 4.00000000e+00, 1.65048375e-01], [ 1.00000000e+00, 5.00000000e+00, 1.65048375e-01], [ 1.00000000e+00, 6.00000000e+00, 1.57270632e-01], [ 1.00000000e+00, 7.00000000e+00, 1.63766338e-01], [ 1.00000000e+00, 8.00000000e+00, 1.63465217e-01], [ 1.00000000e+00, 9.00000000e+00, 1.54265022e-01], [ 1.00000000e+00, 1.00000000e+01, 1.46676220e-01], [ 1.00000000e+00, 1.10000000e+01, 1.40516044e-01], [ 1.00000000e+00, 1.20000000e+01, 1.32755394e-01], [ 1.00000000e+00, 1.30000000e+01, 1.83977100e-01], [ 1.00000000e+00, 1.40000000e+01, 2.14436620e-01], [ 1.00000000e+00, 1.50000000e+01, 2.14436620e-01], [ 1.00000000e+00, 1.60000000e+01, 2.14436620e-01], [ 1.00000000e+00, 1.70000000e+01, 2.14436620e-01], [ 2.00000000e+00, 2.00000000e+00, 1.48467652e-01], [ 2.00000000e+00, 3.00000000e+00, 1.48467652e-01], [ 2.00000000e+00, 4.00000000e+00, 1.48467652e-01], [ 2.00000000e+00, 5.00000000e+00, 1.46438468e-01], [ 2.00000000e+00, 6.00000000e+00, 1.48467652e-01], [ 2.00000000e+00, 7.00000000e+00, 1.45249231e-01], [ 2.00000000e+00, 8.00000000e+00, 1.46924929e-01], [ 2.00000000e+00, 9.00000000e+00, 1.39197757e-01], [ 2.00000000e+00, 1.00000000e+01, 1.26729907e-01], [ 2.00000000e+00, 1.10000000e+01, 1.22574957e-01], [ 2.00000000e+00, 1.20000000e+01, 1.19960008e-01], [ 2.00000000e+00, 1.30000000e+01, 1.15505387e-01], [ 2.00000000e+00, 1.40000000e+01, 1.12249744e-01], [ 2.00000000e+00, 1.50000000e+01, 1.26729907e-01], [ 2.00000000e+00, 1.60000000e+01, 1.26729907e-01], [ 2.00000000e+00, 1.70000000e+01, 1.26729907e-01], [ 4.26600000e+03, 2.00000000e+00, 1.44658358e-01], [ 4.26600000e+03, 3.00000000e+00, 1.44658358e-01], [ 4.26600000e+03, 4.00000000e+00, 1.44658358e-01], [ 4.26600000e+03, 5.00000000e+00, 1.42725826e-01], [ 4.26600000e+03, 6.00000000e+00, 1.44658358e-01], [ 4.26600000e+03, 7.00000000e+00, 1.42180604e-01], [ 4.26600000e+03, 8.00000000e+00, 1.43644990e-01], [ 4.26600000e+03, 9.00000000e+00, 1.36562757e-01], [ 4.26600000e+03, 1.00000000e+01, 1.25791053e-01], [ 4.26600000e+03, 1.10000000e+01, 1.22086563e-01], [ 4.26600000e+03, 1.20000000e+01, 1.18415145e-01], [ 4.26600000e+03, 1.30000000e+01, 1.14962973e-01], [ 4.26600000e+03, 1.40000000e+01, 1.12459083e-01], [ 4.26600000e+03, 1.50000000e+01, 1.25791053e-01], [ 4.26600000e+03, 1.60000000e+01, 1.25791053e-01], [ 4.26600000e+03, 1.70000000e+01, 1.25791053e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.13957375e-01], [ 1.00000000e+00, 3.00000000e+00, 2.13957375e-01], [ 1.00000000e+00, 4.00000000e+00, 2.13957375e-01], [ 1.00000000e+00, 5.00000000e+00, 2.13757962e-01], [ 1.00000000e+00, 6.00000000e+00, 2.12968319e-01], [ 1.00000000e+00, 7.00000000e+00, 2.13957375e-01], [ 1.00000000e+00, 8.00000000e+00, 2.10807133e-01], [ 1.00000000e+00, 9.00000000e+00, 2.03179267e-01], [ 1.00000000e+00, 1.00000000e+01, 1.89722326e-01], [ 1.00000000e+00, 1.10000000e+01, 1.83093987e-01], [ 1.00000000e+00, 1.20000000e+01, 1.72638267e-01], [ 1.00000000e+00, 1.30000000e+01, 2.28260411e-01], [ 1.00000000e+00, 1.40000000e+01, 2.64496889e-01], [ 1.00000000e+00, 1.50000000e+01, 2.64496889e-01], [ 1.00000000e+00, 1.60000000e+01, 2.64496889e-01], [ 1.00000000e+00, 1.70000000e+01, 2.64496889e-01], [ 2.00000000e+00, 2.00000000e+00, 2.02195181e-01], [ 2.00000000e+00, 3.00000000e+00, 2.02195181e-01], [ 2.00000000e+00, 4.00000000e+00, 2.02195181e-01], [ 2.00000000e+00, 5.00000000e+00, 1.91925581e-01], [ 2.00000000e+00, 6.00000000e+00, 2.02195181e-01], [ 2.00000000e+00, 7.00000000e+00, 1.98355155e-01], [ 2.00000000e+00, 8.00000000e+00, 1.99931776e-01], [ 2.00000000e+00, 9.00000000e+00, 1.90845445e-01], [ 2.00000000e+00, 1.00000000e+01, 1.73489240e-01], [ 2.00000000e+00, 1.10000000e+01, 1.67728282e-01], [ 2.00000000e+00, 1.20000000e+01, 1.64750250e-01], [ 2.00000000e+00, 1.30000000e+01, 1.56649168e-01], [ 2.00000000e+00, 1.40000000e+01, 1.50246831e-01], [ 2.00000000e+00, 1.50000000e+01, 1.73489240e-01], [ 2.00000000e+00, 1.60000000e+01, 1.73489240e-01], [ 2.00000000e+00, 1.70000000e+01, 1.73489240e-01], [ 4.26600000e+03, 2.00000000e+00, 1.96383931e-01], [ 4.26600000e+03, 3.00000000e+00, 1.90353017e-01], [ 4.26600000e+03, 4.00000000e+00, 1.96383931e-01], [ 4.26600000e+03, 5.00000000e+00, 1.91445108e-01], [ 4.26600000e+03, 6.00000000e+00, 1.96383931e-01], [ 4.26600000e+03, 7.00000000e+00, 1.95181900e-01], [ 4.26600000e+03, 8.00000000e+00, 1.96383931e-01], [ 4.26600000e+03, 9.00000000e+00, 1.88802979e-01], [ 4.26600000e+03, 1.00000000e+01, 1.72750784e-01], [ 4.26600000e+03, 1.10000000e+01, 1.67359633e-01], [ 4.26600000e+03, 1.20000000e+01, 1.63860577e-01], [ 4.26600000e+03, 1.30000000e+01, 1.56661322e-01], [ 4.26600000e+03, 1.40000000e+01, 1.52665234e-01], [ 4.26600000e+03, 1.50000000e+01, 1.72750784e-01], [ 4.26600000e+03, 1.60000000e+01, 1.72750784e-01], [ 4.26600000e+03, 1.70000000e+01, 1.72750784e-01]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='1,2,4266', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='1,2,4266', correlation='ABS_LL', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', field='1,2,4266', correlation='ABS_LL', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.63520222e-01], [ 1.00000000e+00, 3.00000000e+00, 1.63520222e-01], [ 1.00000000e+00, 4.00000000e+00, 1.63520222e-01], [ 1.00000000e+00, 5.00000000e+00, 1.61805816e-01], [ 1.00000000e+00, 6.00000000e+00, 1.61260176e-01], [ 1.00000000e+00, 7.00000000e+00, 1.59510419e-01], [ 1.00000000e+00, 8.00000000e+00, 1.63520222e-01], [ 1.00000000e+00, 9.00000000e+00, 1.61720908e-01], [ 1.00000000e+00, 1.00000000e+01, 2.68999771e-01], [ 1.00000000e+00, 1.10000000e+01, 2.65882892e-01], [ 1.00000000e+00, 1.20000000e+01, 2.58399906e-01], [ 1.00000000e+00, 1.30000000e+01, 2.53048807e-01], [ 1.00000000e+00, 1.40000000e+01, 2.85276497e-01], [ 1.00000000e+00, 1.50000000e+01, 2.85276497e-01], [ 1.00000000e+00, 1.60000000e+01, 2.85276497e-01], [ 1.00000000e+00, 1.70000000e+01, 2.85276497e-01], [ 2.00000000e+00, 2.00000000e+00, 1.49994525e-01], [ 2.00000000e+00, 3.00000000e+00, 1.49994525e-01], [ 2.00000000e+00, 4.00000000e+00, 1.49994525e-01], [ 2.00000000e+00, 5.00000000e+00, 1.42492210e-01], [ 2.00000000e+00, 6.00000000e+00, 1.49994525e-01], [ 2.00000000e+00, 7.00000000e+00, 1.46963344e-01], [ 2.00000000e+00, 8.00000000e+00, 1.48098719e-01], [ 2.00000000e+00, 9.00000000e+00, 1.44745160e-01], [ 2.00000000e+00, 1.00000000e+01, 1.24634770e-01], [ 2.00000000e+00, 1.10000000e+01, 1.20046350e-01], [ 2.00000000e+00, 1.20000000e+01, 1.17969803e-01], [ 2.00000000e+00, 1.30000000e+01, 1.12119060e-01], [ 2.00000000e+00, 1.40000000e+01, 1.09643082e-01], [ 2.00000000e+00, 1.50000000e+01, 1.24634770e-01], [ 2.00000000e+00, 1.60000000e+01, 1.24634770e-01], [ 2.00000000e+00, 1.70000000e+01, 1.24634770e-01], [ 4.26600000e+03, 2.00000000e+00, 1.46916149e-01], [ 4.26600000e+03, 3.00000000e+00, 1.46916149e-01], [ 4.26600000e+03, 4.00000000e+00, 1.46916149e-01], [ 4.26600000e+03, 5.00000000e+00, 1.40756014e-01], [ 4.26600000e+03, 6.00000000e+00, 1.46916149e-01], [ 4.26600000e+03, 7.00000000e+00, 1.44174231e-01], [ 4.26600000e+03, 8.00000000e+00, 1.45923730e-01], [ 4.26600000e+03, 9.00000000e+00, 1.41058905e-01], [ 4.26600000e+03, 1.00000000e+01, 1.56755715e-01], [ 4.26600000e+03, 1.10000000e+01, 1.54715919e-01], [ 4.26600000e+03, 1.20000000e+01, 1.48568234e-01], [ 4.26600000e+03, 1.30000000e+01, 1.40753855e-01], [ 4.26600000e+03, 1.40000000e+01, 1.47872615e-01], [ 4.26600000e+03, 1.50000000e+01, 1.56755715e-01], [ 4.26600000e+03, 1.60000000e+01, 1.56755715e-01], [ 4.26600000e+03, 1.70000000e+01, 1.56755715e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.13274462e-01], [ 1.00000000e+00, 3.00000000e+00, 2.13274462e-01], [ 1.00000000e+00, 4.00000000e+00, 2.13274462e-01], [ 1.00000000e+00, 5.00000000e+00, 2.05684366e-01], [ 1.00000000e+00, 6.00000000e+00, 2.12210261e-01], [ 1.00000000e+00, 7.00000000e+00, 2.12592674e-01], [ 1.00000000e+00, 8.00000000e+00, 2.13274462e-01], [ 1.00000000e+00, 9.00000000e+00, 2.07648875e-01], [ 1.00000000e+00, 1.00000000e+01, 1.88853131e-01], [ 1.00000000e+00, 1.10000000e+01, 1.83166350e-01], [ 1.00000000e+00, 1.20000000e+01, 1.73594998e-01], [ 1.00000000e+00, 1.30000000e+01, 2.15517964e-01], [ 1.00000000e+00, 1.40000000e+01, 2.30034284e-01], [ 1.00000000e+00, 1.50000000e+01, 2.30034284e-01], [ 1.00000000e+00, 1.60000000e+01, 2.30034284e-01], [ 1.00000000e+00, 1.70000000e+01, 2.30034284e-01], [ 2.00000000e+00, 2.00000000e+00, 2.04058198e-01], [ 2.00000000e+00, 3.00000000e+00, 2.04058198e-01], [ 2.00000000e+00, 4.00000000e+00, 2.04058198e-01], [ 2.00000000e+00, 5.00000000e+00, 1.84276860e-01], [ 2.00000000e+00, 6.00000000e+00, 2.04058198e-01], [ 2.00000000e+00, 7.00000000e+00, 1.99169571e-01], [ 2.00000000e+00, 8.00000000e+00, 2.01511226e-01], [ 2.00000000e+00, 9.00000000e+00, 1.97464618e-01], [ 2.00000000e+00, 1.00000000e+01, 1.69678730e-01], [ 2.00000000e+00, 1.10000000e+01, 1.62392240e-01], [ 2.00000000e+00, 1.20000000e+01, 1.60336432e-01], [ 2.00000000e+00, 1.30000000e+01, 1.49281903e-01], [ 2.00000000e+00, 1.40000000e+01, 1.46381476e-01], [ 2.00000000e+00, 1.50000000e+01, 1.69678730e-01], [ 2.00000000e+00, 1.60000000e+01, 1.69678730e-01], [ 2.00000000e+00, 1.70000000e+01, 1.69678730e-01], [ 4.26600000e+03, 2.00000000e+00, 1.98662815e-01], [ 4.26600000e+03, 3.00000000e+00, 1.94521459e-01], [ 4.26600000e+03, 4.00000000e+00, 1.98662815e-01], [ 4.26600000e+03, 5.00000000e+00, 1.89184777e-01], [ 4.26600000e+03, 6.00000000e+00, 1.98662815e-01], [ 4.26600000e+03, 7.00000000e+00, 1.97032918e-01], [ 4.26600000e+03, 8.00000000e+00, 1.98662815e-01], [ 4.26600000e+03, 9.00000000e+00, 1.92334249e-01], [ 4.26600000e+03, 1.00000000e+01, 1.71962868e-01], [ 4.26600000e+03, 1.10000000e+01, 1.66456652e-01], [ 4.26600000e+03, 1.20000000e+01, 1.64337340e-01], [ 4.26600000e+03, 1.30000000e+01, 1.56063008e-01], [ 4.26600000e+03, 1.40000000e+01, 1.52530707e-01], [ 4.26600000e+03, 1.50000000e+01, 1.71962868e-01], [ 4.26600000e+03, 1.60000000e+01, 1.71962868e-01], [ 4.26600000e+03, 1.70000000e+01, 1.71962868e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.63520222e-01], [ 1.00000000e+00, 3.00000000e+00, 1.63520222e-01], [ 1.00000000e+00, 4.00000000e+00, 1.63520222e-01], [ 1.00000000e+00, 5.00000000e+00, 1.61805816e-01], [ 1.00000000e+00, 6.00000000e+00, 1.61260176e-01], [ 1.00000000e+00, 7.00000000e+00, 1.59510419e-01], [ 1.00000000e+00, 8.00000000e+00, 1.63520222e-01], [ 1.00000000e+00, 9.00000000e+00, 1.61720908e-01], [ 1.00000000e+00, 1.00000000e+01, 2.68999771e-01], [ 1.00000000e+00, 1.10000000e+01, 2.65882892e-01], [ 1.00000000e+00, 1.20000000e+01, 2.58399906e-01], [ 1.00000000e+00, 1.30000000e+01, 2.53048807e-01], [ 1.00000000e+00, 1.40000000e+01, 2.85276497e-01], [ 1.00000000e+00, 1.50000000e+01, 2.85276497e-01], [ 1.00000000e+00, 1.60000000e+01, 2.85276497e-01], [ 1.00000000e+00, 1.70000000e+01, 2.85276497e-01], [ 2.00000000e+00, 2.00000000e+00, 1.49994525e-01], [ 2.00000000e+00, 3.00000000e+00, 1.49994525e-01], [ 2.00000000e+00, 4.00000000e+00, 1.49994525e-01], [ 2.00000000e+00, 5.00000000e+00, 1.42492210e-01], [ 2.00000000e+00, 6.00000000e+00, 1.49994525e-01], [ 2.00000000e+00, 7.00000000e+00, 1.46963344e-01], [ 2.00000000e+00, 8.00000000e+00, 1.48098719e-01], [ 2.00000000e+00, 9.00000000e+00, 1.44745160e-01], [ 2.00000000e+00, 1.00000000e+01, 1.24634770e-01], [ 2.00000000e+00, 1.10000000e+01, 1.20046350e-01], [ 2.00000000e+00, 1.20000000e+01, 1.17969803e-01], [ 2.00000000e+00, 1.30000000e+01, 1.12119060e-01], [ 2.00000000e+00, 1.40000000e+01, 1.09643082e-01], [ 2.00000000e+00, 1.50000000e+01, 1.24634770e-01], [ 2.00000000e+00, 1.60000000e+01, 1.24634770e-01], [ 2.00000000e+00, 1.70000000e+01, 1.24634770e-01], [ 4.26600000e+03, 2.00000000e+00, 1.46916149e-01], [ 4.26600000e+03, 3.00000000e+00, 1.46916149e-01], [ 4.26600000e+03, 4.00000000e+00, 1.46916149e-01], [ 4.26600000e+03, 5.00000000e+00, 1.40756014e-01], [ 4.26600000e+03, 6.00000000e+00, 1.46916149e-01], [ 4.26600000e+03, 7.00000000e+00, 1.44174231e-01], [ 4.26600000e+03, 8.00000000e+00, 1.45923730e-01], [ 4.26600000e+03, 9.00000000e+00, 1.41058905e-01], [ 4.26600000e+03, 1.00000000e+01, 1.56755715e-01], [ 4.26600000e+03, 1.10000000e+01, 1.54715919e-01], [ 4.26600000e+03, 1.20000000e+01, 1.48568234e-01], [ 4.26600000e+03, 1.30000000e+01, 1.40753855e-01], [ 4.26600000e+03, 1.40000000e+01, 1.47872615e-01], [ 4.26600000e+03, 1.50000000e+01, 1.56755715e-01], [ 4.26600000e+03, 1.60000000e+01, 1.56755715e-01], [ 4.26600000e+03, 1.70000000e+01, 1.56755715e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.13274462e-01], [ 1.00000000e+00, 3.00000000e+00, 2.13274462e-01], [ 1.00000000e+00, 4.00000000e+00, 2.13274462e-01], [ 1.00000000e+00, 5.00000000e+00, 2.05684366e-01], [ 1.00000000e+00, 6.00000000e+00, 2.12210261e-01], [ 1.00000000e+00, 7.00000000e+00, 2.12592674e-01], [ 1.00000000e+00, 8.00000000e+00, 2.13274462e-01], [ 1.00000000e+00, 9.00000000e+00, 2.07648875e-01], [ 1.00000000e+00, 1.00000000e+01, 1.88853131e-01], [ 1.00000000e+00, 1.10000000e+01, 1.83166350e-01], [ 1.00000000e+00, 1.20000000e+01, 1.73594998e-01], [ 1.00000000e+00, 1.30000000e+01, 2.15517964e-01], [ 1.00000000e+00, 1.40000000e+01, 2.30034284e-01], [ 1.00000000e+00, 1.50000000e+01, 2.30034284e-01], [ 1.00000000e+00, 1.60000000e+01, 2.30034284e-01], [ 1.00000000e+00, 1.70000000e+01, 2.30034284e-01], [ 2.00000000e+00, 2.00000000e+00, 2.04058198e-01], [ 2.00000000e+00, 3.00000000e+00, 2.04058198e-01], [ 2.00000000e+00, 4.00000000e+00, 2.04058198e-01], [ 2.00000000e+00, 5.00000000e+00, 1.84276860e-01], [ 2.00000000e+00, 6.00000000e+00, 2.04058198e-01], [ 2.00000000e+00, 7.00000000e+00, 1.99169571e-01], [ 2.00000000e+00, 8.00000000e+00, 2.01511226e-01], [ 2.00000000e+00, 9.00000000e+00, 1.97464618e-01], [ 2.00000000e+00, 1.00000000e+01, 1.69678730e-01], [ 2.00000000e+00, 1.10000000e+01, 1.62392240e-01], [ 2.00000000e+00, 1.20000000e+01, 1.60336432e-01], [ 2.00000000e+00, 1.30000000e+01, 1.49281903e-01], [ 2.00000000e+00, 1.40000000e+01, 1.46381476e-01], [ 2.00000000e+00, 1.50000000e+01, 1.69678730e-01], [ 2.00000000e+00, 1.60000000e+01, 1.69678730e-01], [ 2.00000000e+00, 1.70000000e+01, 1.69678730e-01], [ 4.26600000e+03, 2.00000000e+00, 1.98662815e-01], [ 4.26600000e+03, 3.00000000e+00, 1.94521459e-01], [ 4.26600000e+03, 4.00000000e+00, 1.98662815e-01], [ 4.26600000e+03, 5.00000000e+00, 1.89184777e-01], [ 4.26600000e+03, 6.00000000e+00, 1.98662815e-01], [ 4.26600000e+03, 7.00000000e+00, 1.97032918e-01], [ 4.26600000e+03, 8.00000000e+00, 1.98662815e-01], [ 4.26600000e+03, 9.00000000e+00, 1.92334249e-01], [ 4.26600000e+03, 1.00000000e+01, 1.71962868e-01], [ 4.26600000e+03, 1.10000000e+01, 1.66456652e-01], [ 4.26600000e+03, 1.20000000e+01, 1.64337340e-01], [ 4.26600000e+03, 1.30000000e+01, 1.56063008e-01], [ 4.26600000e+03, 1.40000000e+01, 1.52530707e-01], [ 4.26600000e+03, 1.50000000e+01, 1.71962868e-01], [ 4.26600000e+03, 1.60000000e+01, 1.71962868e-01], [ 4.26600000e+03, 1.70000000e+01, 1.71962868e-01]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='1,2,4266', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', field='1,2,4266', correlation='ABS_LR', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='1,2,4266', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', field='1,2,4266', correlation='ABS_RL', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='1,2,4266', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', field='1,2,4266', correlation='ABS_LL', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='1,2,4266', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', field='1,2,4266', correlation='ABS_RR', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='1,2,4266', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', field='1,2,4266', scan='5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=True, flagneartime=True, flagnearfreq=True, action='apply', flagbackup=False, savepars=False) # hifv_solint(refantignore='ea14', limit_short_solint=0.45) # # No comment registered for hifv_solint # split(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', outputvis='calibrators.ms', keepmms=True, scan='4,5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', keepflags=False, width=1, timebin='0s') gaincal(vis='calibrators.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_solint.s12_1.testgaincal.tbl', selectdata=True, scan='4,5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=[[]], gainfield=[''], interp=[''], spwmap=[], parang=True) gaincal(vis='calibrators.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_solint.s12_1.testgaincal3.tbl', selectdata=True, scan='4,5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', solint='1.35s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=[[]], gainfield=[''], interp=[''], spwmap=[], parang=True) gaincal(vis='calibrators.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_solint.s12_1.testgaincallimit.tbl', selectdata=True, scan='4,5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', solint='0.45s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=[[]], gainfield=[''], interp=[''], spwmap=[], parang=True) # hifv_fluxboot2(fitorder=2, refantignore='ea14') # # No comment registered for hifv_fluxboot2 # setjy(vis='calibrators.ms', field='0', spw='0,1', selectdata=False, scalebychan=True, standard='Perley-Butler 2017', model='3C48_X.im', listmodels=False, fluxdensity=-1, usescratch=True) setjy(vis='calibrators.ms', field='0', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='Perley-Butler 2017', model='3C48_S.im', listmodels=False, fluxdensity=-1, usescratch=True) gaincal(vis='calibrators.ms', caltable='fluxphaseshortgaincal.g', selectdata=False, solint='0.45s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, gaintable=[[]], gainfield=[''], interp=[''], spwmap=[], parang=True) gaincal(vis='calibrators.ms', caltable='fluxflag.g', field='3C48', selectdata=False, solint='76.8104998916s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=True, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=['fluxphaseshortgaincal.g'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='calibrators.ms', caltable='fluxflag.g', field='J0339-0146', selectdata=False, solint='76.8104998916s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=True, gaintype='G', smodel=[], calmode='ap', append=True, gaintable=['fluxphaseshortgaincal.g'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='calibrators.ms', caltable='fluxflag.g', field='J0217+0144', selectdata=False, solint='76.8104998916s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=True, gaintype='G', smodel=[], calmode='ap', append=True, gaintable=['fluxphaseshortgaincal.g'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='calibrators.ms', caltable='fluxflag.g', field='J0259+0747', selectdata=False, solint='76.8104998916s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=True, gaintype='G', smodel=[], calmode='ap', append=True, gaintable=['fluxphaseshortgaincal.g'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) flagdata(vis='fluxflag.g', mode='clip', correlation='ABS_ALL', clipminmax=[0.9, 1.1], datacolumn='CPARAM', clipoutside=True, action='apply', flagbackup=False, savepars=False) applycal(vis='calibrators.ms', selectdata=False, docallib=False, gaintable=['fluxflag.g'], gainfield=[''], interp=['linear'], spwmap=[], calwt=[False], parang=False, applymode='flagonlystrict', flagbackup=True) gaincal(vis='calibrators.ms', caltable='fluxgaincal.g', selectdata=False, solint='76.8104998916s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=['fluxphaseshortgaincal.g'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) fluxscale(vis='calibrators.ms', caltable='fluxgaincal.g', fluxtable='fluxgaincalFcal.g', reference=['0'], transfer=[''], append=False, refspwmap=[-1], fitorder=2, display=False) setjy(vis='calibrators.ms', field='J0259+0747', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[0.7745026123533616, 0, 0, 0], spix=[0.090255951405857723, -1.4254334913425999], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', field='J0259+0747', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[0.7745026123533616, 0, 0, 0], spix=[0.090255951405857723, -1.4254334913425999], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='calibrators.ms', field='J0339-0146', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[2.797852878496694, 0, 0, 0], spix=[-0.24540385364445208, -1.3477461167317253], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', field='J0339-0146', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[2.797852878496694, 0, 0, 0], spix=[-0.24540385364445208, -1.3477461167317253], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='calibrators.ms', field='J0217+0144', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[0.5928168279640109, 0, 0, 0], spix=[-0.14759217051652071, -0.67711860711760163], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', field='J0217+0144', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[0.5928168279640109, 0, 0, 0], spix=[-0.14759217051652071, -0.67711860711760163], reffreq='2977098604.72Hz', usescratch=True) # hifv_finalcals(refantignore='ea14') # # No comment registered for hifv_finalcals # gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_1.finaldelayinitialgain.tbl', field='0', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=3.0, solnorm=False, gaintype='K', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_1.finaldelayinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_3.finalBPinitialgain.tbl', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) bandpass(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=5.0, solnorm=False, bandtype='B', smodel=[], append=False, fillgaps=0, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_3.finalBPinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', refantmode='strict', minblperant=4, minsnr=1.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl', mode='unflag', action='apply', flagbackup=False, savepars=False) applycal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', selectdata=True, scan='4,5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', docallib=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], calwt=[False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=True) split(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', outputvis='finalcalibrators.ms', keepmms=True, scan='4,5,6,26,43,60,77,78,79,99,116,133,150,151,171,188,205,222', datacolumn='corrected', keepflags=False, width=1, timebin='0s') setjy(vis='finalcalibrators.ms', field='0', spw='0,1', selectdata=False, scalebychan=True, standard='Perley-Butler 2017', model='3C48_X.im', listmodels=False, fluxdensity=-1, usescratch=True) setjy(vis='finalcalibrators.ms', field='0', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='Perley-Butler 2017', model='3C48_S.im', listmodels=False, fluxdensity=-1, usescratch=True) setjy(vis='finalcalibrators.ms', field='J0259+0747', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[0.7745026123533616, 0, 0, 0], spix=[0.090255951405857723, -1.4254334913425999], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='finalcalibrators.ms', field='J0339-0146', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[2.797852878496694, 0, 0, 0], spix=[-0.24540385364445208, -1.3477461167317253], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='finalcalibrators.ms', field='J0217+0144', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[0.5928168279640109, 0, 0, 0], spix=[-0.14759217051652071, -0.67711860711760163], reffreq='2977098604.72Hz', usescratch=True) gaincal(vis='finalcalibrators.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl', selectdata=False, solint='0.45s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', refantmode='strict', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, gaintable=[[]], gainfield=[''], interp=[''], spwmap=[], parang=True) gaincal(vis='finalcalibrators.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', selectdata=False, solint='76.8104998916s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', refantmode='strict', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='finalcalibrators.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_8.finalphasegaincal.tbl', selectdata=False, solint='76.8104998916s', combine='scan', preavg=-1.0, refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', refantmode='strict', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) # hifv_circfeedpolcal(refantignore='ea14') # # No comment registered for hifv_circfeedpolcal # setjy(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', field='3C48', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[6.4861, -0.132, 0.0417, 0], spix=[-0.934677, -0.125579], reffreq='3000.0MHz', polindex=[0.02143, 0.0392, 0.002349, -0.023], polangle=[-1.7233, 1.569, -2.282, 1.49], rotmeas=0, fluxdict={}, useephemdir=False, interpolation='nearest', usescratch=True) gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', field='3C48', spw='2,3,4,5,6,7,8,9', intent='CALIBRATE_FLUX#UNSPECIFIED,CALIBRATE_AMPLI#UNSPECIFIED,CALIBRATE_PHASE#UNSPECIFIED,CALIBRATE_BANDPASS#UNSPECIFIED', solint='inf', combine='scan,spw', refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, gaintype='KCROSS', append=False, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl'], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear'], parang=True) gaincal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', field='3C48', spw='10,11,12,13,14,15,16,17', intent='CALIBRATE_FLUX#UNSPECIFIED,CALIBRATE_AMPLI#UNSPECIFIED,CALIBRATE_PHASE#UNSPECIFIED,CALIBRATE_BANDPASS#UNSPECIFIED', solint='inf', combine='scan,spw', refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, gaintype='KCROSS', append=True, gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl'], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear'], parang=True) polcal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_2.D2.tbl', field='J0339-0146', intent='CALIBRATE_POL_LEAKAGE#UNSPECIFIED', solint='inf,2MHz', combine='scan', refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=5.0, poltype='Df+QU', gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_1.kcross.tbl'], gainfield=[''], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10]]) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_2.D2.tbl', mode='clip', correlation='ABS_ALL', clipminmax=[0.0, 0.25], datacolumn='CPARAM', clipoutside=True, action='apply', flagbackup=False, savepars=False) polcal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', caltable='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_3.X1.tbl', field='3C48', intent='CALIBRATE_POL_ANGLE#UNSPECIFIED', solint='inf,2MHz', combine='scan', refant='ea24,ea17,ea04,ea11,ea25,ea08,ea23,ea19,ea20,ea06,ea16,ea07,ea10,ea01,ea21,ea12,ea15,ea03,ea13,ea09,ea22,ea26,ea28,ea27,ea05,ea18', minblperant=4, minsnr=3.0, poltype='Xf', gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_2.D2.tbl'], gainfield=[''], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10], []]) # hifv_flagcal(pipelinemode="automatic") # # No comment registered for hifv_flagcal # flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', mode='clip', correlation='ABS_ALL', clipminmax=[0.9, 1.1], datacolumn='CPARAM', clipoutside=True, action='apply', flagbackup=False, savepars=False) # hifv_applycals(flagsum=False, flagdetailedsum=False, gainmap=True) # # No comment registered for hifv_applycals # applycal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', antenna='*&*', scan='151,155,158,161,164,167,170,171,175,178,181,184,187,188,192,195,198,201,204,205,209,212,215,218,221,222', gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_8.finalphasegaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_2.D2.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_3.X1.tbl'], gainfield=['', '', '', '', '', '', '', '1', '1', '', '', ''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear', 'linear', 'linear', 'linear'], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10], [], []], calwt=[False, False, False, False, False, False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=False) applycal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', antenna='*&*', scan='5,6,10,13,16,19,22,25,26,30,33,36,39,42,43,47,50,53,56,59,60,64,67,70,73,76,77', gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_8.finalphasegaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_2.D2.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_3.X1.tbl'], gainfield=['', '', '', '', '', '', '', '2', '2', '', '', ''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear', 'linear', 'linear', 'linear'], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10], [], []], calwt=[False, False, False, False, False, False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=False) applycal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', antenna='*&*', scan='78,79,83,86,89,92,95,98,99,103,106,109,112,115,116,120,123,126,129,132,133,137,140,143,146,149,150', gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_8.finalphasegaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_2.D2.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_3.X1.tbl'], gainfield=['', '', '', '', '', '', '', '4266', '4266', '', '', ''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear', 'linear', 'linear', 'linear'], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10], [], []], calwt=[False, False, False, False, False, False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=False) applycal(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', intent='CALIBRATE*', antenna='*&*', gaintable=['TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_finalcals.s14_8.finalphasegaincal.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_2.D2.tbl', 'TSKY0001.sb32458590.eb32483782.57597.441518437496.ms.hifv_circfeedpolcal.s15_3.X1.tbl'], gainfield=['', '', '', '', '', '', '', '', '', '', '', ''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear', 'linear', 'linear', 'linear'], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10], [], []], calwt=[False, False, False, False, False, False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=False) # hifv_checkflag(checkflagmode='target-vlass') # # No comment registered for hifv_checkflag # flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='summary') flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', correlation='ABS_RL', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', correlation='ABS_RL', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 5.00000000e+00, 2.00000000e+00, 1.59743498e-01], [ 5.00000000e+00, 3.00000000e+00, 1.59743498e-01], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 5.00000000e+00, 2.00000000e+00, 2.11584878e-05], [ 5.00000000e+00, 3.00000000e+00, 2.11584878e-05], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 5.00000000e+00, 2.00000000e+00, 1.59743498e-01], [ 5.00000000e+00, 3.00000000e+00, 1.59743498e-01], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 5.00000000e+00, 2.00000000e+00, 2.11584878e-05], [ 5.00000000e+00, 3.00000000e+00, 2.11584878e-05], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', correlation='ABS_LR', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', correlation='ABS_LR', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 5.00000000e+00, 2.00000000e+00, 1.56979857e-01], [ 5.00000000e+00, 3.00000000e+00, 1.56979857e-01], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 5.00000000e+00, 2.00000000e+00, 2.23072456e-05], [ 5.00000000e+00, 3.00000000e+00, 2.23072456e-05], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 5.00000000e+00, 2.00000000e+00, 1.56979857e-01], [ 5.00000000e+00, 3.00000000e+00, 1.56979857e-01], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 5.00000000e+00, 2.00000000e+00, 2.23072456e-05], [ 5.00000000e+00, 3.00000000e+00, 2.23072456e-05], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', correlation='ABS_RR', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=7.0, freqdevscale=7.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', correlation='ABS_RR', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 5.00000000e+00, 2.00000000e+00, 1.51041362e-01], [ 5.00000000e+00, 3.00000000e+00, 1.51041362e-01], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 5.00000000e+00, 2.00000000e+00, 2.25586157e-05], [ 5.00000000e+00, 3.00000000e+00, 2.25586157e-05], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 5.00000000e+00, 2.00000000e+00, 1.51041362e-01], [ 5.00000000e+00, 3.00000000e+00, 1.51041362e-01], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 5.00000000e+00, 2.00000000e+00, 2.25586157e-05], [ 5.00000000e+00, 3.00000000e+00, 2.25586157e-05], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]])}, 'nreport': 1}, timedevscale=7.0, freqdevscale=7.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', correlation='ABS_LL', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=7.0, freqdevscale=7.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='rflag', correlation='ABS_LL', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 5.00000000e+00, 2.00000000e+00, 1.65543154e-01], [ 5.00000000e+00, 3.00000000e+00, 1.65543154e-01], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 5.00000000e+00, 2.00000000e+00, 2.24884712e-05], [ 5.00000000e+00, 3.00000000e+00, 2.24884712e-05], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 5.00000000e+00, 2.00000000e+00, 1.65543154e-01], [ 5.00000000e+00, 3.00000000e+00, 1.65543154e-01], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 5.00000000e+00, 2.00000000e+00, 2.24884712e-05], [ 5.00000000e+00, 3.00000000e+00, 2.24884712e-05], [ 5.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.27920000e+04, 1.50000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.60000000e+01, 0.00000000e+00], [ 1.27920000e+04, 1.70000000e+01, 0.00000000e+00]])}, 'nreport': 1}, timedevscale=7.0, freqdevscale=7.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', correlation='ABS_LR', intent='*TARGET*', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', correlation='ABS_RL', intent='*TARGET*', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', correlation='ABS_LL', intent='*TARGET*', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='tfcrop', correlation='ABS_RR', intent='*TARGET*', datacolumn='corrected', ntime=0.4499999999999927, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=True, flagneartime=True, flagnearfreq=True, action='apply', flagbackup=False, savepars=False) # hifv_statwt(pipelinemode="automatic") # # No comment registered for hifv_statwt # statwt(vis='TSKY0001.sb32458590.eb32483782.57597.441518437496.ms', minsamp=10, datacolumn='corrected') # hifv_plotsummary(pipelinemode="automatic") # # No comment registered for hifv_plotsummary # # hifv_exportdata(gainmap=True) # # No comment registered for hifv_exportdata #