raise Error('The casa commands log is not executable!') # This file contains CASA commands run by the pipeline. Although all commands # required to calibrate the data are included here, this file cannot be # executed, nor does it contain heuristic and flagging calculations performed # by pipeline code. This file is useful to understand which CASA commands are # being run by each pipeline task. If one wishes to re-run the pipeline, one # should use the pipeline script linked on the front page or By Task page of # the weblog. Some stages may not have any commands listed here, e.g. # hifa_importdata if conversion from ASDM to MS is not required. # hifv_importdata(vis=['TSKY0001.sb32476325.eb32479241.57593.34503652778'], session=['session_1']) # # If required, ASDMs are converted to MeasurementSets. # importasdm(asdm='TSKY0001.sb32476325.eb32479241.57593.34503652778', vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', createmms=False, ocorr_mode='co', lazy=False, asis='Receiver CalAtmosphere', process_caldevice=True, process_pointing=True, savecmds=True, outfile='TSKY0001.sb32476325.eb32479241.57593.34503652778.flagonline.txt', overwrite=False, bdfflags=False, with_pointing_correction=True) # hifv_hanning(pipelinemode="automatic") # # No comment registered for hifv_hanning # hanningsmooth(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', outputvis='temphanning.ms', datacolumn='data') # hifv_flagdata(quack=False, intents='*POINTING*,*FOCUS*,*ATMOSPHERE*,*SIDEBAND_RATIO*, *UNKNOWN*, *SYSTEM_CONFIGURATION*, *UNSPECIFIED#UNSPECIFIED*', autocorr=True, clip=True, flagbackup=False, fracspw=0.0, tbuff=0.225, hm_tbuff='manual', edgespw=False, template=True, online=True, baseband=False, shadow=True) # # No comment registered for hifv_flagdata # flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='summary', name='before') flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='list', inpfile='TSKY0001.sb32476325.eb32479241.57593.34503652778.flagcmds.txt', tbuff=0.225, action='apply', flagbackup=False, savepars=False) # hifv_vlasetjy(pipelinemode="automatic") # # No comment registered for hifv_vlasetjy # setjy(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', field='0', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='Perley-Butler 2017', model='3C48_S.im', listmodels=False, fluxdensity=-1, usescratch=True) # hifv_priorcals(tecmaps=False, swpow_spw='6,14') # # No comment registered for hifv_priorcals # gencal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', caltype='gc', parameter=[]) plotweather(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', seasonal_weight=0.5, doPlot=True) gencal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', caltype='opac', spw='0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', parameter=[0.0079977541602868213, 0.0080775981010738369, 0.0056205529442964744, 0.0056558357790148948, 0.005688522887049313, 0.0057203447528557296, 0.0057509606742130546, 0.0057812691979657093, 0.0058112800983326929, 0.0058412358832578845, 0.0058715742365511095, 0.0059019595719246171, 0.0059332184596580205, 0.0059645355842154173, 0.0059971269764807072, 0.0060297874896199869, 0.0060639637292348196, 0.0060982783776964838]) gencal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', caltype='rq', parameter=[]) gencal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_5.swpow.tbl', caltype='swpow', parameter=[]) gencal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', caltype='antpos', parameter=[]) # hifv_syspower(pipelinemode="automatic") # # Sys power fix compression # # hifv_testBPdcals(pipelinemode="automatic") # # No comment registered for hifv_testBPdcals # gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_1.testdelayinitialgain.tbl', field='0', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea25,ea08,ea23,ea06,ea20,ea19,ea07,ea16,ea10,ea12,ea01,ea21,ea03,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea15', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_2.testdelay.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea25,ea08,ea23,ea06,ea20,ea19,ea07,ea16,ea10,ea12,ea01,ea21,ea03,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea15', minblperant=4, minsnr=3.0, solnorm=False, gaintype='K', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_1.testdelayinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_3.testBPdinitialgain.tbl', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea25,ea08,ea23,ea06,ea20,ea19,ea07,ea16,ea10,ea12,ea01,ea21,ea03,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea15', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_2.testdelay.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) bandpass(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_4.testBPcal.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', refant='ea24,ea04,ea17,ea14,ea11,ea25,ea08,ea23,ea06,ea20,ea19,ea07,ea16,ea10,ea12,ea01,ea21,ea03,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea15', minblperant=4, minsnr=5.0, solnorm=False, bandtype='B', smodel=[], append=False, fillgaps=0, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_2.testdelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_3.testBPdinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_4.testBPcal.tbl', mode='clip', correlation='ABS_ALL', clipminmax=[0.0, 2.0], datacolumn='CPARAM', clipoutside=True, action='apply', flagbackup=False, savepars=False) applycal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', selectdata=True, scan='4', docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_2.testdelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_3.testBPdinitialgain.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_testBPdcals.s7_4.testBPcal.tbl'], gainfield=[''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag'], spwmap=[], calwt=[False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=True) # hifv_flagbaddef(doflagundernspwlimit=False) # # No comment registered for hifv_flagbaddef # # hifv_checkflag(checkflagmode='bpd-vlass') # # No comment registered for hifv_checkflag # flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='summary') flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='0', correlation='ABS_RL', scan='4', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='0', correlation='ABS_RL', scan='4', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.24959584], [ 0. , 3. , 0.24959584], [ 0. , 4. , 0.24959584], [ 0. , 5. , 0.22733929], [ 0. , 6. , 0.2057725 ], [ 0. , 7. , 0.18433093], [ 0. , 8. , 0.24959584], [ 0. , 9. , 0.22790068], [ 0. , 10. , 0.2114185 ], [ 0. , 11. , 0.2114185 ], [ 0. , 12. , 0.2114185 ], [ 0. , 13. , 0.20918128], [ 0. , 14. , 0.19136593], [ 0. , 15. , 0.2114185 ], [ 0. , 16. , 0.20740391], [ 0. , 17. , 0.20289378]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.23757141], [ 0. , 3. , 0.23757141], [ 0. , 4. , 0.23757141], [ 0. , 5. , 0.23749532], [ 0. , 6. , 0.23757141], [ 0. , 7. , 0.22712383], [ 0. , 8. , 0.23206223], [ 0. , 9. , 0.22082395], [ 0. , 10. , 0.19676077], [ 0. , 11. , 0.19676077], [ 0. , 12. , 0.19520378], [ 0. , 13. , 0.17993943], [ 0. , 14. , 0.17480884], [ 0. , 15. , 0.19599257], [ 0. , 16. , 0.19676077], [ 0. , 17. , 0.19676077]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.24959584], [ 0. , 3. , 0.24959584], [ 0. , 4. , 0.24959584], [ 0. , 5. , 0.22733929], [ 0. , 6. , 0.2057725 ], [ 0. , 7. , 0.18433093], [ 0. , 8. , 0.24959584], [ 0. , 9. , 0.22790068], [ 0. , 10. , 0.2114185 ], [ 0. , 11. , 0.2114185 ], [ 0. , 12. , 0.2114185 ], [ 0. , 13. , 0.20918128], [ 0. , 14. , 0.19136593], [ 0. , 15. , 0.2114185 ], [ 0. , 16. , 0.20740391], [ 0. , 17. , 0.20289378]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.23757141], [ 0. , 3. , 0.23757141], [ 0. , 4. , 0.23757141], [ 0. , 5. , 0.23749532], [ 0. , 6. , 0.23757141], [ 0. , 7. , 0.22712383], [ 0. , 8. , 0.23206223], [ 0. , 9. , 0.22082395], [ 0. , 10. , 0.19676077], [ 0. , 11. , 0.19676077], [ 0. , 12. , 0.19520378], [ 0. , 13. , 0.17993943], [ 0. , 14. , 0.17480884], [ 0. , 15. , 0.19599257], [ 0. , 16. , 0.19676077], [ 0. , 17. , 0.19676077]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='0', correlation='ABS_LR', scan='4', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='0', correlation='ABS_LR', scan='4', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.25210552], [ 0. , 3. , 0.25210552], [ 0. , 4. , 0.25210552], [ 0. , 5. , 0.22022608], [ 0. , 6. , 0.21046396], [ 0. , 7. , 0.18599905], [ 0. , 8. , 0.25210552], [ 0. , 9. , 0.22192009], [ 0. , 10. , 0.22818772], [ 0. , 11. , 0.22818772], [ 0. , 12. , 0.22818772], [ 0. , 13. , 0.22066862], [ 0. , 14. , 0.21791138], [ 0. , 15. , 0.22818772], [ 0. , 16. , 0.20566816], [ 0. , 17. , 0.21209067]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.23470154], [ 0. , 3. , 0.23470154], [ 0. , 4. , 0.23470154], [ 0. , 5. , 0.23359904], [ 0. , 6. , 0.23470154], [ 0. , 7. , 0.22513264], [ 0. , 8. , 0.23372764], [ 0. , 9. , 0.22109647], [ 0. , 10. , 0.19536025], [ 0. , 11. , 0.19536025], [ 0. , 12. , 0.19377046], [ 0. , 13. , 0.17909351], [ 0. , 14. , 0.17341683], [ 0. , 15. , 0.19204086], [ 0. , 16. , 0.19536025], [ 0. , 17. , 0.19536025]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.25210552], [ 0. , 3. , 0.25210552], [ 0. , 4. , 0.25210552], [ 0. , 5. , 0.22022608], [ 0. , 6. , 0.21046396], [ 0. , 7. , 0.18599905], [ 0. , 8. , 0.25210552], [ 0. , 9. , 0.22192009], [ 0. , 10. , 0.22818772], [ 0. , 11. , 0.22818772], [ 0. , 12. , 0.22818772], [ 0. , 13. , 0.22066862], [ 0. , 14. , 0.21791138], [ 0. , 15. , 0.22818772], [ 0. , 16. , 0.20566816], [ 0. , 17. , 0.21209067]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.23470154], [ 0. , 3. , 0.23470154], [ 0. , 4. , 0.23470154], [ 0. , 5. , 0.23359904], [ 0. , 6. , 0.23470154], [ 0. , 7. , 0.22513264], [ 0. , 8. , 0.23372764], [ 0. , 9. , 0.22109647], [ 0. , 10. , 0.19536025], [ 0. , 11. , 0.19536025], [ 0. , 12. , 0.19377046], [ 0. , 13. , 0.17909351], [ 0. , 14. , 0.17341683], [ 0. , 15. , 0.19204086], [ 0. , 16. , 0.19536025], [ 0. , 17. , 0.19536025]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='0', correlation='REAL_RR', scan='4', datacolumn='residual', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='0', correlation='REAL_RR', scan='4', datacolumn='residual', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.3012952 ], [ 0. , 3. , 0.3012952 ], [ 0. , 4. , 0.29287319], [ 0. , 5. , 0.3012952 ], [ 0. , 6. , 0.3012952 ], [ 0. , 7. , 0.29663092], [ 0. , 8. , 0.28667646], [ 0. , 9. , 0.27344965], [ 0. , 10. , 0.23891831], [ 0. , 11. , 0.23891831], [ 0. , 12. , 0.23891831], [ 0. , 13. , 0.2305303 ], [ 0. , 14. , 0.22540166], [ 0. , 15. , 0.23498739], [ 0. , 16. , 0.23891831], [ 0. , 17. , 0.22896224]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.34886475], [ 0. , 3. , 0.34886475], [ 0. , 4. , 0.34309019], [ 0. , 5. , 0.34886475], [ 0. , 6. , 0.34886475], [ 0. , 7. , 0.34357765], [ 0. , 8. , 0.34108035], [ 0. , 9. , 0.32408603], [ 0. , 10. , 0.28076785], [ 0. , 11. , 0.28076785], [ 0. , 12. , 0.28076785], [ 0. , 13. , 0.27253987], [ 0. , 14. , 0.26608596], [ 0. , 15. , 0.27521785], [ 0. , 16. , 0.28076785], [ 0. , 17. , 0.27114944]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.3012952 ], [ 0. , 3. , 0.3012952 ], [ 0. , 4. , 0.29287319], [ 0. , 5. , 0.3012952 ], [ 0. , 6. , 0.3012952 ], [ 0. , 7. , 0.29663092], [ 0. , 8. , 0.28667646], [ 0. , 9. , 0.27344965], [ 0. , 10. , 0.23891831], [ 0. , 11. , 0.23891831], [ 0. , 12. , 0.23891831], [ 0. , 13. , 0.2305303 ], [ 0. , 14. , 0.22540166], [ 0. , 15. , 0.23498739], [ 0. , 16. , 0.23891831], [ 0. , 17. , 0.22896224]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.34886475], [ 0. , 3. , 0.34886475], [ 0. , 4. , 0.34309019], [ 0. , 5. , 0.34886475], [ 0. , 6. , 0.34886475], [ 0. , 7. , 0.34357765], [ 0. , 8. , 0.34108035], [ 0. , 9. , 0.32408603], [ 0. , 10. , 0.28076785], [ 0. , 11. , 0.28076785], [ 0. , 12. , 0.28076785], [ 0. , 13. , 0.27253987], [ 0. , 14. , 0.26608596], [ 0. , 15. , 0.27521785], [ 0. , 16. , 0.28076785], [ 0. , 17. , 0.27114944]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='0', correlation='REAL_LL', scan='4', datacolumn='residual', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='0', correlation='REAL_LL', scan='4', datacolumn='residual', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.30116803], [ 0. , 3. , 0.30116803], [ 0. , 4. , 0.29195598], [ 0. , 5. , 0.30116803], [ 0. , 6. , 0.30116803], [ 0. , 7. , 0.29402425], [ 0. , 8. , 0.28717703], [ 0. , 9. , 0.2760925 ], [ 0. , 10. , 0.23921613], [ 0. , 11. , 0.23921613], [ 0. , 12. , 0.23921613], [ 0. , 13. , 0.23147136], [ 0. , 14. , 0.22672557], [ 0. , 15. , 0.23590255], [ 0. , 16. , 0.23921613], [ 0. , 17. , 0.2308379 ]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.34436831], [ 0. , 3. , 0.34436831], [ 0. , 4. , 0.32822052], [ 0. , 5. , 0.34436831], [ 0. , 6. , 0.34436831], [ 0. , 7. , 0.34330292], [ 0. , 8. , 0.34030751], [ 0. , 9. , 0.32533548], [ 0. , 10. , 0.27887717], [ 0. , 11. , 0.27887717], [ 0. , 12. , 0.27887717], [ 0. , 13. , 0.27047295], [ 0. , 14. , 0.2648803 ], [ 0. , 15. , 0.27431561], [ 0. , 16. , 0.27887717], [ 0. , 17. , 0.27075559]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 0. , 2. , 0.30116803], [ 0. , 3. , 0.30116803], [ 0. , 4. , 0.29195598], [ 0. , 5. , 0.30116803], [ 0. , 6. , 0.30116803], [ 0. , 7. , 0.29402425], [ 0. , 8. , 0.28717703], [ 0. , 9. , 0.2760925 ], [ 0. , 10. , 0.23921613], [ 0. , 11. , 0.23921613], [ 0. , 12. , 0.23921613], [ 0. , 13. , 0.23147136], [ 0. , 14. , 0.22672557], [ 0. , 15. , 0.23590255], [ 0. , 16. , 0.23921613], [ 0. , 17. , 0.2308379 ]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 0. , 2. , 0.34436831], [ 0. , 3. , 0.34436831], [ 0. , 4. , 0.32822052], [ 0. , 5. , 0.34436831], [ 0. , 6. , 0.34436831], [ 0. , 7. , 0.34330292], [ 0. , 8. , 0.34030751], [ 0. , 9. , 0.32533548], [ 0. , 10. , 0.27887717], [ 0. , 11. , 0.27887717], [ 0. , 12. , 0.27887717], [ 0. , 13. , 0.27047295], [ 0. , 14. , 0.2648803 ], [ 0. , 15. , 0.27431561], [ 0. , 16. , 0.27887717], [ 0. , 17. , 0.27075559]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', field='0', correlation='ABS_LR', scan='4', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', field='0', correlation='ABS_RL', scan='4', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', field='0', correlation='ABS_LL', scan='4', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', field='0', correlation='ABS_RR', scan='4', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='0', scan='4', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=True, flagneartime=True, flagnearfreq=True, action='apply', flagbackup=False, savepars=False) # hifv_semiFinalBPdcals(pipelinemode="automatic") # # No comment registered for hifv_semiFinalBPdcals # gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_semiFinalBPdcals.s10_1.semiFinaldelayinitialgain.tbl', field='0', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea25,ea08,ea23,ea06,ea20,ea07,ea19,ea16,ea10,ea12,ea01,ea21,ea09,ea03,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea15', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_semiFinalBPdcals.s10_2.delay.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea25,ea08,ea23,ea06,ea20,ea07,ea19,ea16,ea10,ea12,ea01,ea21,ea09,ea03,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea15', minblperant=4, minsnr=3.0, solnorm=False, gaintype='K', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_semiFinalBPdcals.s10_1.semiFinaldelayinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_semiFinalBPdcals.s10_3.BPinitialgain.tbl', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea25,ea08,ea23,ea06,ea20,ea07,ea19,ea16,ea10,ea12,ea01,ea21,ea09,ea03,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea15', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_semiFinalBPdcals.s10_2.delay.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) bandpass(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_semiFinalBPdcals.s10_4.BPcal.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', refant='ea24,ea04,ea17,ea14,ea11,ea25,ea08,ea23,ea06,ea20,ea07,ea19,ea16,ea10,ea12,ea01,ea21,ea09,ea03,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea15', minblperant=4, minsnr=5.0, solnorm=False, bandtype='B', smodel=[], append=False, fillgaps=0, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_semiFinalBPdcals.s10_2.delay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_semiFinalBPdcals.s10_3.BPinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) applycal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', selectdata=True, scan='4,5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_semiFinalBPdcals.s10_2.delay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_semiFinalBPdcals.s10_4.BPcal.tbl'], gainfield=[''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag'], spwmap=[], calwt=[False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=True) # hifv_checkflag(checkflagmode='allcals-vlass') # # No comment registered for hifv_checkflag # flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='summary') flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='1,6861', correlation='ABS_RL', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='1,6861', correlation='ABS_RL', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.73970644e-01], [ 1.00000000e+00, 3.00000000e+00, 1.73970644e-01], [ 1.00000000e+00, 4.00000000e+00, 1.73970644e-01], [ 1.00000000e+00, 5.00000000e+00, 1.73970644e-01], [ 1.00000000e+00, 6.00000000e+00, 1.62874655e-01], [ 1.00000000e+00, 7.00000000e+00, 1.57136283e-01], [ 1.00000000e+00, 8.00000000e+00, 1.71199425e-01], [ 1.00000000e+00, 9.00000000e+00, 1.56378415e-01], [ 1.00000000e+00, 1.00000000e+01, 1.47169167e-01], [ 1.00000000e+00, 1.10000000e+01, 1.49826594e-01], [ 1.00000000e+00, 1.20000000e+01, 1.34829823e-01], [ 1.00000000e+00, 1.30000000e+01, 2.99385224e-01], [ 1.00000000e+00, 1.40000000e+01, 3.14209526e-01], [ 1.00000000e+00, 1.50000000e+01, 3.14209526e-01], [ 1.00000000e+00, 1.60000000e+01, 3.14209526e-01], [ 1.00000000e+00, 1.70000000e+01, 3.14209526e-01], [ 6.86100000e+03, 2.00000000e+00, 1.60958002e-01], [ 6.86100000e+03, 3.00000000e+00, 1.60958002e-01], [ 6.86100000e+03, 4.00000000e+00, 1.60958002e-01], [ 6.86100000e+03, 5.00000000e+00, 1.60958002e-01], [ 6.86100000e+03, 6.00000000e+00, 1.57215668e-01], [ 6.86100000e+03, 7.00000000e+00, 1.52116652e-01], [ 6.86100000e+03, 8.00000000e+00, 1.56361252e-01], [ 6.86100000e+03, 9.00000000e+00, 1.48422951e-01], [ 6.86100000e+03, 1.00000000e+01, 1.43436344e-01], [ 6.86100000e+03, 1.10000000e+01, 1.37160411e-01], [ 6.86100000e+03, 1.20000000e+01, 1.31444430e-01], [ 6.86100000e+03, 1.30000000e+01, 2.73135563e-01], [ 6.86100000e+03, 1.40000000e+01, 2.91748112e-01], [ 6.86100000e+03, 1.50000000e+01, 2.91748112e-01], [ 6.86100000e+03, 1.60000000e+01, 2.91748112e-01], [ 6.86100000e+03, 1.70000000e+01, 2.91748112e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.26928362e-01], [ 1.00000000e+00, 3.00000000e+00, 2.26928362e-01], [ 1.00000000e+00, 4.00000000e+00, 2.26928362e-01], [ 1.00000000e+00, 5.00000000e+00, 2.26928362e-01], [ 1.00000000e+00, 6.00000000e+00, 2.22209477e-01], [ 1.00000000e+00, 7.00000000e+00, 2.15181925e-01], [ 1.00000000e+00, 8.00000000e+00, 2.20098186e-01], [ 1.00000000e+00, 9.00000000e+00, 2.09786072e-01], [ 1.00000000e+00, 1.00000000e+01, 2.00933292e-01], [ 1.00000000e+00, 1.10000000e+01, 1.88233864e-01], [ 1.00000000e+00, 1.20000000e+01, 1.85910244e-01], [ 1.00000000e+00, 1.30000000e+01, 3.80172402e-01], [ 1.00000000e+00, 1.40000000e+01, 3.94776344e-01], [ 1.00000000e+00, 1.50000000e+01, 3.94776344e-01], [ 1.00000000e+00, 1.60000000e+01, 3.94776344e-01], [ 1.00000000e+00, 1.70000000e+01, 3.94776344e-01], [ 6.86100000e+03, 2.00000000e+00, 2.20555085e-01], [ 6.86100000e+03, 3.00000000e+00, 2.20555085e-01], [ 6.86100000e+03, 4.00000000e+00, 2.20555085e-01], [ 6.86100000e+03, 5.00000000e+00, 2.20555085e-01], [ 6.86100000e+03, 6.00000000e+00, 2.16388618e-01], [ 6.86100000e+03, 7.00000000e+00, 2.09992935e-01], [ 6.86100000e+03, 8.00000000e+00, 2.15628414e-01], [ 6.86100000e+03, 9.00000000e+00, 2.04562382e-01], [ 6.86100000e+03, 1.00000000e+01, 1.97474141e-01], [ 6.86100000e+03, 1.10000000e+01, 1.84904489e-01], [ 6.86100000e+03, 1.20000000e+01, 1.82196601e-01], [ 6.86100000e+03, 1.30000000e+01, 3.43408281e-01], [ 6.86100000e+03, 1.40000000e+01, 3.65851449e-01], [ 6.86100000e+03, 1.50000000e+01, 3.65851449e-01], [ 6.86100000e+03, 1.60000000e+01, 3.65851449e-01], [ 6.86100000e+03, 1.70000000e+01, 3.65851449e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.73970644e-01], [ 1.00000000e+00, 3.00000000e+00, 1.73970644e-01], [ 1.00000000e+00, 4.00000000e+00, 1.73970644e-01], [ 1.00000000e+00, 5.00000000e+00, 1.73970644e-01], [ 1.00000000e+00, 6.00000000e+00, 1.62874655e-01], [ 1.00000000e+00, 7.00000000e+00, 1.57136283e-01], [ 1.00000000e+00, 8.00000000e+00, 1.71199425e-01], [ 1.00000000e+00, 9.00000000e+00, 1.56378415e-01], [ 1.00000000e+00, 1.00000000e+01, 1.47169167e-01], [ 1.00000000e+00, 1.10000000e+01, 1.49826594e-01], [ 1.00000000e+00, 1.20000000e+01, 1.34829823e-01], [ 1.00000000e+00, 1.30000000e+01, 2.99385224e-01], [ 1.00000000e+00, 1.40000000e+01, 3.14209526e-01], [ 1.00000000e+00, 1.50000000e+01, 3.14209526e-01], [ 1.00000000e+00, 1.60000000e+01, 3.14209526e-01], [ 1.00000000e+00, 1.70000000e+01, 3.14209526e-01], [ 6.86100000e+03, 2.00000000e+00, 1.60958002e-01], [ 6.86100000e+03, 3.00000000e+00, 1.60958002e-01], [ 6.86100000e+03, 4.00000000e+00, 1.60958002e-01], [ 6.86100000e+03, 5.00000000e+00, 1.60958002e-01], [ 6.86100000e+03, 6.00000000e+00, 1.57215668e-01], [ 6.86100000e+03, 7.00000000e+00, 1.52116652e-01], [ 6.86100000e+03, 8.00000000e+00, 1.56361252e-01], [ 6.86100000e+03, 9.00000000e+00, 1.48422951e-01], [ 6.86100000e+03, 1.00000000e+01, 1.43436344e-01], [ 6.86100000e+03, 1.10000000e+01, 1.37160411e-01], [ 6.86100000e+03, 1.20000000e+01, 1.31444430e-01], [ 6.86100000e+03, 1.30000000e+01, 2.73135563e-01], [ 6.86100000e+03, 1.40000000e+01, 2.91748112e-01], [ 6.86100000e+03, 1.50000000e+01, 2.91748112e-01], [ 6.86100000e+03, 1.60000000e+01, 2.91748112e-01], [ 6.86100000e+03, 1.70000000e+01, 2.91748112e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.26928362e-01], [ 1.00000000e+00, 3.00000000e+00, 2.26928362e-01], [ 1.00000000e+00, 4.00000000e+00, 2.26928362e-01], [ 1.00000000e+00, 5.00000000e+00, 2.26928362e-01], [ 1.00000000e+00, 6.00000000e+00, 2.22209477e-01], [ 1.00000000e+00, 7.00000000e+00, 2.15181925e-01], [ 1.00000000e+00, 8.00000000e+00, 2.20098186e-01], [ 1.00000000e+00, 9.00000000e+00, 2.09786072e-01], [ 1.00000000e+00, 1.00000000e+01, 2.00933292e-01], [ 1.00000000e+00, 1.10000000e+01, 1.88233864e-01], [ 1.00000000e+00, 1.20000000e+01, 1.85910244e-01], [ 1.00000000e+00, 1.30000000e+01, 3.80172402e-01], [ 1.00000000e+00, 1.40000000e+01, 3.94776344e-01], [ 1.00000000e+00, 1.50000000e+01, 3.94776344e-01], [ 1.00000000e+00, 1.60000000e+01, 3.94776344e-01], [ 1.00000000e+00, 1.70000000e+01, 3.94776344e-01], [ 6.86100000e+03, 2.00000000e+00, 2.20555085e-01], [ 6.86100000e+03, 3.00000000e+00, 2.20555085e-01], [ 6.86100000e+03, 4.00000000e+00, 2.20555085e-01], [ 6.86100000e+03, 5.00000000e+00, 2.20555085e-01], [ 6.86100000e+03, 6.00000000e+00, 2.16388618e-01], [ 6.86100000e+03, 7.00000000e+00, 2.09992935e-01], [ 6.86100000e+03, 8.00000000e+00, 2.15628414e-01], [ 6.86100000e+03, 9.00000000e+00, 2.04562382e-01], [ 6.86100000e+03, 1.00000000e+01, 1.97474141e-01], [ 6.86100000e+03, 1.10000000e+01, 1.84904489e-01], [ 6.86100000e+03, 1.20000000e+01, 1.82196601e-01], [ 6.86100000e+03, 1.30000000e+01, 3.43408281e-01], [ 6.86100000e+03, 1.40000000e+01, 3.65851449e-01], [ 6.86100000e+03, 1.50000000e+01, 3.65851449e-01], [ 6.86100000e+03, 1.60000000e+01, 3.65851449e-01], [ 6.86100000e+03, 1.70000000e+01, 3.65851449e-01]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='1,6861', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='1,6861', correlation='ABS_LR', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='1,6861', correlation='ABS_LR', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.70921143e-01], [ 1.00000000e+00, 3.00000000e+00, 1.70921143e-01], [ 1.00000000e+00, 4.00000000e+00, 1.70921143e-01], [ 1.00000000e+00, 5.00000000e+00, 1.69357409e-01], [ 1.00000000e+00, 6.00000000e+00, 1.62091317e-01], [ 1.00000000e+00, 7.00000000e+00, 1.56317683e-01], [ 1.00000000e+00, 8.00000000e+00, 1.70921143e-01], [ 1.00000000e+00, 9.00000000e+00, 1.54822933e-01], [ 1.00000000e+00, 1.00000000e+01, 1.45916591e-01], [ 1.00000000e+00, 1.10000000e+01, 1.45298140e-01], [ 1.00000000e+00, 1.20000000e+01, 1.33957188e-01], [ 1.00000000e+00, 1.30000000e+01, 2.25465286e-01], [ 1.00000000e+00, 1.40000000e+01, 2.33477888e-01], [ 1.00000000e+00, 1.50000000e+01, 2.33477888e-01], [ 1.00000000e+00, 1.60000000e+01, 2.33477888e-01], [ 1.00000000e+00, 1.70000000e+01, 2.33477888e-01], [ 6.86100000e+03, 2.00000000e+00, 1.57040127e-01], [ 6.86100000e+03, 3.00000000e+00, 1.57040127e-01], [ 6.86100000e+03, 4.00000000e+00, 1.57040127e-01], [ 6.86100000e+03, 5.00000000e+00, 1.57040127e-01], [ 6.86100000e+03, 6.00000000e+00, 1.55892864e-01], [ 6.86100000e+03, 7.00000000e+00, 1.51024647e-01], [ 6.86100000e+03, 8.00000000e+00, 1.55437951e-01], [ 6.86100000e+03, 9.00000000e+00, 1.47220181e-01], [ 6.86100000e+03, 1.00000000e+01, 1.39614816e-01], [ 6.86100000e+03, 1.10000000e+01, 1.34227086e-01], [ 6.86100000e+03, 1.20000000e+01, 1.28947560e-01], [ 6.86100000e+03, 1.30000000e+01, 1.97808550e-01], [ 6.86100000e+03, 1.40000000e+01, 2.11081127e-01], [ 6.86100000e+03, 1.50000000e+01, 2.11081127e-01], [ 6.86100000e+03, 1.60000000e+01, 2.11081127e-01], [ 6.86100000e+03, 1.70000000e+01, 2.11081127e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.20738894e-01], [ 1.00000000e+00, 3.00000000e+00, 2.20738894e-01], [ 1.00000000e+00, 4.00000000e+00, 2.20738894e-01], [ 1.00000000e+00, 5.00000000e+00, 2.20738894e-01], [ 1.00000000e+00, 6.00000000e+00, 2.18391729e-01], [ 1.00000000e+00, 7.00000000e+00, 2.10777062e-01], [ 1.00000000e+00, 8.00000000e+00, 2.16299293e-01], [ 1.00000000e+00, 9.00000000e+00, 2.04616616e-01], [ 1.00000000e+00, 1.00000000e+01, 1.92424746e-01], [ 1.00000000e+00, 1.10000000e+01, 1.83017224e-01], [ 1.00000000e+00, 1.20000000e+01, 1.78610340e-01], [ 1.00000000e+00, 1.30000000e+01, 2.89460950e-01], [ 1.00000000e+00, 1.40000000e+01, 2.96509806e-01], [ 1.00000000e+00, 1.50000000e+01, 2.96509806e-01], [ 1.00000000e+00, 1.60000000e+01, 2.96509806e-01], [ 1.00000000e+00, 1.70000000e+01, 2.96509806e-01], [ 6.86100000e+03, 2.00000000e+00, 2.11945733e-01], [ 6.86100000e+03, 3.00000000e+00, 2.11945733e-01], [ 6.86100000e+03, 4.00000000e+00, 2.11945733e-01], [ 6.86100000e+03, 5.00000000e+00, 2.11945733e-01], [ 6.86100000e+03, 6.00000000e+00, 2.11103340e-01], [ 6.86100000e+03, 7.00000000e+00, 2.05395289e-01], [ 6.86100000e+03, 8.00000000e+00, 2.09785302e-01], [ 6.86100000e+03, 9.00000000e+00, 1.99335599e-01], [ 6.86100000e+03, 1.00000000e+01, 1.89013198e-01], [ 6.86100000e+03, 1.10000000e+01, 1.78845838e-01], [ 6.86100000e+03, 1.20000000e+01, 1.74855684e-01], [ 6.86100000e+03, 1.30000000e+01, 2.54581651e-01], [ 6.86100000e+03, 1.40000000e+01, 2.71548537e-01], [ 6.86100000e+03, 1.50000000e+01, 2.71548537e-01], [ 6.86100000e+03, 1.60000000e+01, 2.71548537e-01], [ 6.86100000e+03, 1.70000000e+01, 2.71548537e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.70921143e-01], [ 1.00000000e+00, 3.00000000e+00, 1.70921143e-01], [ 1.00000000e+00, 4.00000000e+00, 1.70921143e-01], [ 1.00000000e+00, 5.00000000e+00, 1.69357409e-01], [ 1.00000000e+00, 6.00000000e+00, 1.62091317e-01], [ 1.00000000e+00, 7.00000000e+00, 1.56317683e-01], [ 1.00000000e+00, 8.00000000e+00, 1.70921143e-01], [ 1.00000000e+00, 9.00000000e+00, 1.54822933e-01], [ 1.00000000e+00, 1.00000000e+01, 1.45916591e-01], [ 1.00000000e+00, 1.10000000e+01, 1.45298140e-01], [ 1.00000000e+00, 1.20000000e+01, 1.33957188e-01], [ 1.00000000e+00, 1.30000000e+01, 2.25465286e-01], [ 1.00000000e+00, 1.40000000e+01, 2.33477888e-01], [ 1.00000000e+00, 1.50000000e+01, 2.33477888e-01], [ 1.00000000e+00, 1.60000000e+01, 2.33477888e-01], [ 1.00000000e+00, 1.70000000e+01, 2.33477888e-01], [ 6.86100000e+03, 2.00000000e+00, 1.57040127e-01], [ 6.86100000e+03, 3.00000000e+00, 1.57040127e-01], [ 6.86100000e+03, 4.00000000e+00, 1.57040127e-01], [ 6.86100000e+03, 5.00000000e+00, 1.57040127e-01], [ 6.86100000e+03, 6.00000000e+00, 1.55892864e-01], [ 6.86100000e+03, 7.00000000e+00, 1.51024647e-01], [ 6.86100000e+03, 8.00000000e+00, 1.55437951e-01], [ 6.86100000e+03, 9.00000000e+00, 1.47220181e-01], [ 6.86100000e+03, 1.00000000e+01, 1.39614816e-01], [ 6.86100000e+03, 1.10000000e+01, 1.34227086e-01], [ 6.86100000e+03, 1.20000000e+01, 1.28947560e-01], [ 6.86100000e+03, 1.30000000e+01, 1.97808550e-01], [ 6.86100000e+03, 1.40000000e+01, 2.11081127e-01], [ 6.86100000e+03, 1.50000000e+01, 2.11081127e-01], [ 6.86100000e+03, 1.60000000e+01, 2.11081127e-01], [ 6.86100000e+03, 1.70000000e+01, 2.11081127e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.20738894e-01], [ 1.00000000e+00, 3.00000000e+00, 2.20738894e-01], [ 1.00000000e+00, 4.00000000e+00, 2.20738894e-01], [ 1.00000000e+00, 5.00000000e+00, 2.20738894e-01], [ 1.00000000e+00, 6.00000000e+00, 2.18391729e-01], [ 1.00000000e+00, 7.00000000e+00, 2.10777062e-01], [ 1.00000000e+00, 8.00000000e+00, 2.16299293e-01], [ 1.00000000e+00, 9.00000000e+00, 2.04616616e-01], [ 1.00000000e+00, 1.00000000e+01, 1.92424746e-01], [ 1.00000000e+00, 1.10000000e+01, 1.83017224e-01], [ 1.00000000e+00, 1.20000000e+01, 1.78610340e-01], [ 1.00000000e+00, 1.30000000e+01, 2.89460950e-01], [ 1.00000000e+00, 1.40000000e+01, 2.96509806e-01], [ 1.00000000e+00, 1.50000000e+01, 2.96509806e-01], [ 1.00000000e+00, 1.60000000e+01, 2.96509806e-01], [ 1.00000000e+00, 1.70000000e+01, 2.96509806e-01], [ 6.86100000e+03, 2.00000000e+00, 2.11945733e-01], [ 6.86100000e+03, 3.00000000e+00, 2.11945733e-01], [ 6.86100000e+03, 4.00000000e+00, 2.11945733e-01], [ 6.86100000e+03, 5.00000000e+00, 2.11945733e-01], [ 6.86100000e+03, 6.00000000e+00, 2.11103340e-01], [ 6.86100000e+03, 7.00000000e+00, 2.05395289e-01], [ 6.86100000e+03, 8.00000000e+00, 2.09785302e-01], [ 6.86100000e+03, 9.00000000e+00, 1.99335599e-01], [ 6.86100000e+03, 1.00000000e+01, 1.89013198e-01], [ 6.86100000e+03, 1.10000000e+01, 1.78845838e-01], [ 6.86100000e+03, 1.20000000e+01, 1.74855684e-01], [ 6.86100000e+03, 1.30000000e+01, 2.54581651e-01], [ 6.86100000e+03, 1.40000000e+01, 2.71548537e-01], [ 6.86100000e+03, 1.50000000e+01, 2.71548537e-01], [ 6.86100000e+03, 1.60000000e+01, 2.71548537e-01], [ 6.86100000e+03, 1.70000000e+01, 2.71548537e-01]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='1,6861', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='1,6861', correlation='ABS_RR', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='1,6861', correlation='ABS_RR', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.67362230e-01], [ 1.00000000e+00, 3.00000000e+00, 1.67362230e-01], [ 1.00000000e+00, 4.00000000e+00, 1.67362230e-01], [ 1.00000000e+00, 5.00000000e+00, 1.67362230e-01], [ 1.00000000e+00, 6.00000000e+00, 1.59335650e-01], [ 1.00000000e+00, 7.00000000e+00, 1.61818656e-01], [ 1.00000000e+00, 8.00000000e+00, 1.65177849e-01], [ 1.00000000e+00, 9.00000000e+00, 1.55318361e-01], [ 1.00000000e+00, 1.00000000e+01, 1.48262464e-01], [ 1.00000000e+00, 1.10000000e+01, 1.42243544e-01], [ 1.00000000e+00, 1.20000000e+01, 1.32510833e-01], [ 1.00000000e+00, 1.30000000e+01, 1.80220256e-01], [ 1.00000000e+00, 1.40000000e+01, 2.07549983e-01], [ 1.00000000e+00, 1.50000000e+01, 2.07549983e-01], [ 1.00000000e+00, 1.60000000e+01, 2.07549983e-01], [ 1.00000000e+00, 1.70000000e+01, 2.07549983e-01], [ 6.86100000e+03, 2.00000000e+00, 1.52439469e-01], [ 6.86100000e+03, 3.00000000e+00, 1.52439469e-01], [ 6.86100000e+03, 4.00000000e+00, 1.52439469e-01], [ 6.86100000e+03, 5.00000000e+00, 1.52318144e-01], [ 6.86100000e+03, 6.00000000e+00, 1.52439469e-01], [ 6.86100000e+03, 7.00000000e+00, 1.47489034e-01], [ 6.86100000e+03, 8.00000000e+00, 1.50454817e-01], [ 6.86100000e+03, 9.00000000e+00, 1.43289741e-01], [ 6.86100000e+03, 1.00000000e+01, 1.35612474e-01], [ 6.86100000e+03, 1.10000000e+01, 1.31333983e-01], [ 6.86100000e+03, 1.20000000e+01, 1.25659871e-01], [ 6.86100000e+03, 1.30000000e+01, 1.75423453e-01], [ 6.86100000e+03, 1.40000000e+01, 2.09224073e-01], [ 6.86100000e+03, 1.50000000e+01, 2.09224073e-01], [ 6.86100000e+03, 1.60000000e+01, 2.09224073e-01], [ 6.86100000e+03, 1.70000000e+01, 2.09224073e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.16033784e-01], [ 1.00000000e+00, 3.00000000e+00, 2.16033784e-01], [ 1.00000000e+00, 4.00000000e+00, 2.16033784e-01], [ 1.00000000e+00, 5.00000000e+00, 2.16033784e-01], [ 1.00000000e+00, 6.00000000e+00, 2.13113108e-01], [ 1.00000000e+00, 7.00000000e+00, 2.09893773e-01], [ 1.00000000e+00, 8.00000000e+00, 2.14399774e-01], [ 1.00000000e+00, 9.00000000e+00, 2.03404035e-01], [ 1.00000000e+00, 1.00000000e+01, 1.90779642e-01], [ 1.00000000e+00, 1.10000000e+01, 1.85633269e-01], [ 1.00000000e+00, 1.20000000e+01, 1.74867172e-01], [ 1.00000000e+00, 1.30000000e+01, 2.38516820e-01], [ 1.00000000e+00, 1.40000000e+01, 2.69078623e-01], [ 1.00000000e+00, 1.50000000e+01, 2.69078623e-01], [ 1.00000000e+00, 1.60000000e+01, 2.69078623e-01], [ 1.00000000e+00, 1.70000000e+01, 2.69078623e-01], [ 6.86100000e+03, 2.00000000e+00, 2.01897164e-01], [ 6.86100000e+03, 3.00000000e+00, 2.01897164e-01], [ 6.86100000e+03, 4.00000000e+00, 2.01897164e-01], [ 6.86100000e+03, 5.00000000e+00, 2.00621454e-01], [ 6.86100000e+03, 6.00000000e+00, 2.01897164e-01], [ 6.86100000e+03, 7.00000000e+00, 1.97121482e-01], [ 6.86100000e+03, 8.00000000e+00, 2.00944607e-01], [ 6.86100000e+03, 9.00000000e+00, 1.90757707e-01], [ 6.86100000e+03, 1.00000000e+01, 1.80261114e-01], [ 6.86100000e+03, 1.10000000e+01, 1.73856323e-01], [ 6.86100000e+03, 1.20000000e+01, 1.66590976e-01], [ 6.86100000e+03, 1.30000000e+01, 2.25563137e-01], [ 6.86100000e+03, 1.40000000e+01, 2.67922285e-01], [ 6.86100000e+03, 1.50000000e+01, 2.67922285e-01], [ 6.86100000e+03, 1.60000000e+01, 2.67922285e-01], [ 6.86100000e+03, 1.70000000e+01, 2.67922285e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.67362230e-01], [ 1.00000000e+00, 3.00000000e+00, 1.67362230e-01], [ 1.00000000e+00, 4.00000000e+00, 1.67362230e-01], [ 1.00000000e+00, 5.00000000e+00, 1.67362230e-01], [ 1.00000000e+00, 6.00000000e+00, 1.59335650e-01], [ 1.00000000e+00, 7.00000000e+00, 1.61818656e-01], [ 1.00000000e+00, 8.00000000e+00, 1.65177849e-01], [ 1.00000000e+00, 9.00000000e+00, 1.55318361e-01], [ 1.00000000e+00, 1.00000000e+01, 1.48262464e-01], [ 1.00000000e+00, 1.10000000e+01, 1.42243544e-01], [ 1.00000000e+00, 1.20000000e+01, 1.32510833e-01], [ 1.00000000e+00, 1.30000000e+01, 1.80220256e-01], [ 1.00000000e+00, 1.40000000e+01, 2.07549983e-01], [ 1.00000000e+00, 1.50000000e+01, 2.07549983e-01], [ 1.00000000e+00, 1.60000000e+01, 2.07549983e-01], [ 1.00000000e+00, 1.70000000e+01, 2.07549983e-01], [ 6.86100000e+03, 2.00000000e+00, 1.52439469e-01], [ 6.86100000e+03, 3.00000000e+00, 1.52439469e-01], [ 6.86100000e+03, 4.00000000e+00, 1.52439469e-01], [ 6.86100000e+03, 5.00000000e+00, 1.52318144e-01], [ 6.86100000e+03, 6.00000000e+00, 1.52439469e-01], [ 6.86100000e+03, 7.00000000e+00, 1.47489034e-01], [ 6.86100000e+03, 8.00000000e+00, 1.50454817e-01], [ 6.86100000e+03, 9.00000000e+00, 1.43289741e-01], [ 6.86100000e+03, 1.00000000e+01, 1.35612474e-01], [ 6.86100000e+03, 1.10000000e+01, 1.31333983e-01], [ 6.86100000e+03, 1.20000000e+01, 1.25659871e-01], [ 6.86100000e+03, 1.30000000e+01, 1.75423453e-01], [ 6.86100000e+03, 1.40000000e+01, 2.09224073e-01], [ 6.86100000e+03, 1.50000000e+01, 2.09224073e-01], [ 6.86100000e+03, 1.60000000e+01, 2.09224073e-01], [ 6.86100000e+03, 1.70000000e+01, 2.09224073e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.16033784e-01], [ 1.00000000e+00, 3.00000000e+00, 2.16033784e-01], [ 1.00000000e+00, 4.00000000e+00, 2.16033784e-01], [ 1.00000000e+00, 5.00000000e+00, 2.16033784e-01], [ 1.00000000e+00, 6.00000000e+00, 2.13113108e-01], [ 1.00000000e+00, 7.00000000e+00, 2.09893773e-01], [ 1.00000000e+00, 8.00000000e+00, 2.14399774e-01], [ 1.00000000e+00, 9.00000000e+00, 2.03404035e-01], [ 1.00000000e+00, 1.00000000e+01, 1.90779642e-01], [ 1.00000000e+00, 1.10000000e+01, 1.85633269e-01], [ 1.00000000e+00, 1.20000000e+01, 1.74867172e-01], [ 1.00000000e+00, 1.30000000e+01, 2.38516820e-01], [ 1.00000000e+00, 1.40000000e+01, 2.69078623e-01], [ 1.00000000e+00, 1.50000000e+01, 2.69078623e-01], [ 1.00000000e+00, 1.60000000e+01, 2.69078623e-01], [ 1.00000000e+00, 1.70000000e+01, 2.69078623e-01], [ 6.86100000e+03, 2.00000000e+00, 2.01897164e-01], [ 6.86100000e+03, 3.00000000e+00, 2.01897164e-01], [ 6.86100000e+03, 4.00000000e+00, 2.01897164e-01], [ 6.86100000e+03, 5.00000000e+00, 2.00621454e-01], [ 6.86100000e+03, 6.00000000e+00, 2.01897164e-01], [ 6.86100000e+03, 7.00000000e+00, 1.97121482e-01], [ 6.86100000e+03, 8.00000000e+00, 2.00944607e-01], [ 6.86100000e+03, 9.00000000e+00, 1.90757707e-01], [ 6.86100000e+03, 1.00000000e+01, 1.80261114e-01], [ 6.86100000e+03, 1.10000000e+01, 1.73856323e-01], [ 6.86100000e+03, 1.20000000e+01, 1.66590976e-01], [ 6.86100000e+03, 1.30000000e+01, 2.25563137e-01], [ 6.86100000e+03, 1.40000000e+01, 2.67922285e-01], [ 6.86100000e+03, 1.50000000e+01, 2.67922285e-01], [ 6.86100000e+03, 1.60000000e+01, 2.67922285e-01], [ 6.86100000e+03, 1.70000000e+01, 2.67922285e-01]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='1,6861', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='1,6861', correlation='ABS_LL', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', field='1,6861', correlation='ABS_LL', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.66502234e-01], [ 1.00000000e+00, 3.00000000e+00, 1.66502234e-01], [ 1.00000000e+00, 4.00000000e+00, 1.66502234e-01], [ 1.00000000e+00, 5.00000000e+00, 1.66502234e-01], [ 1.00000000e+00, 6.00000000e+00, 1.60412414e-01], [ 1.00000000e+00, 7.00000000e+00, 1.61382876e-01], [ 1.00000000e+00, 8.00000000e+00, 1.62917563e-01], [ 1.00000000e+00, 9.00000000e+00, 1.57389578e-01], [ 1.00000000e+00, 1.00000000e+01, 1.45240019e-01], [ 1.00000000e+00, 1.10000000e+01, 1.40666783e-01], [ 1.00000000e+00, 1.20000000e+01, 1.31332894e-01], [ 1.00000000e+00, 1.30000000e+01, 1.59651942e-01], [ 1.00000000e+00, 1.40000000e+01, 1.73481623e-01], [ 1.00000000e+00, 1.50000000e+01, 1.73481623e-01], [ 1.00000000e+00, 1.60000000e+01, 1.73481623e-01], [ 1.00000000e+00, 1.70000000e+01, 1.73481623e-01], [ 6.86100000e+03, 2.00000000e+00, 1.52305658e-01], [ 6.86100000e+03, 3.00000000e+00, 1.52305658e-01], [ 6.86100000e+03, 4.00000000e+00, 1.52305658e-01], [ 6.86100000e+03, 5.00000000e+00, 1.52305658e-01], [ 6.86100000e+03, 6.00000000e+00, 1.51938417e-01], [ 6.86100000e+03, 7.00000000e+00, 1.46616068e-01], [ 6.86100000e+03, 8.00000000e+00, 1.50252269e-01], [ 6.86100000e+03, 9.00000000e+00, 1.44133828e-01], [ 6.86100000e+03, 1.00000000e+01, 1.34121779e-01], [ 6.86100000e+03, 1.10000000e+01, 1.28583183e-01], [ 6.86100000e+03, 1.20000000e+01, 1.24499842e-01], [ 6.86100000e+03, 1.30000000e+01, 1.54581145e-01], [ 6.86100000e+03, 1.40000000e+01, 1.65433745e-01], [ 6.86100000e+03, 1.50000000e+01, 1.65433745e-01], [ 6.86100000e+03, 1.60000000e+01, 1.65433745e-01], [ 6.86100000e+03, 1.70000000e+01, 1.65433745e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.13230234e-01], [ 1.00000000e+00, 3.00000000e+00, 2.13230234e-01], [ 1.00000000e+00, 4.00000000e+00, 2.13230234e-01], [ 1.00000000e+00, 5.00000000e+00, 2.13230234e-01], [ 1.00000000e+00, 6.00000000e+00, 2.10989075e-01], [ 1.00000000e+00, 7.00000000e+00, 2.04906031e-01], [ 1.00000000e+00, 8.00000000e+00, 2.10452861e-01], [ 1.00000000e+00, 9.00000000e+00, 2.01989950e-01], [ 1.00000000e+00, 1.00000000e+01, 1.85781214e-01], [ 1.00000000e+00, 1.10000000e+01, 1.81442159e-01], [ 1.00000000e+00, 1.20000000e+01, 1.71942550e-01], [ 1.00000000e+00, 1.30000000e+01, 2.11257934e-01], [ 1.00000000e+00, 1.40000000e+01, 2.26373919e-01], [ 1.00000000e+00, 1.50000000e+01, 2.26373919e-01], [ 1.00000000e+00, 1.60000000e+01, 2.26373919e-01], [ 1.00000000e+00, 1.70000000e+01, 2.26373919e-01], [ 6.86100000e+03, 2.00000000e+00, 1.99259264e-01], [ 6.86100000e+03, 3.00000000e+00, 1.99259264e-01], [ 6.86100000e+03, 4.00000000e+00, 1.99259264e-01], [ 6.86100000e+03, 5.00000000e+00, 1.99056014e-01], [ 6.86100000e+03, 6.00000000e+00, 1.99259264e-01], [ 6.86100000e+03, 7.00000000e+00, 1.92759093e-01], [ 6.86100000e+03, 8.00000000e+00, 1.96431737e-01], [ 6.86100000e+03, 9.00000000e+00, 1.88010088e-01], [ 6.86100000e+03, 1.00000000e+01, 1.76775710e-01], [ 6.86100000e+03, 1.10000000e+01, 1.68550631e-01], [ 6.86100000e+03, 1.20000000e+01, 1.63126042e-01], [ 6.86100000e+03, 1.30000000e+01, 2.00722616e-01], [ 6.86100000e+03, 1.40000000e+01, 2.13893143e-01], [ 6.86100000e+03, 1.50000000e+01, 2.13893143e-01], [ 6.86100000e+03, 1.60000000e+01, 2.13893143e-01], [ 6.86100000e+03, 1.70000000e+01, 2.13893143e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 1.00000000e+00, 2.00000000e+00, 1.66502234e-01], [ 1.00000000e+00, 3.00000000e+00, 1.66502234e-01], [ 1.00000000e+00, 4.00000000e+00, 1.66502234e-01], [ 1.00000000e+00, 5.00000000e+00, 1.66502234e-01], [ 1.00000000e+00, 6.00000000e+00, 1.60412414e-01], [ 1.00000000e+00, 7.00000000e+00, 1.61382876e-01], [ 1.00000000e+00, 8.00000000e+00, 1.62917563e-01], [ 1.00000000e+00, 9.00000000e+00, 1.57389578e-01], [ 1.00000000e+00, 1.00000000e+01, 1.45240019e-01], [ 1.00000000e+00, 1.10000000e+01, 1.40666783e-01], [ 1.00000000e+00, 1.20000000e+01, 1.31332894e-01], [ 1.00000000e+00, 1.30000000e+01, 1.59651942e-01], [ 1.00000000e+00, 1.40000000e+01, 1.73481623e-01], [ 1.00000000e+00, 1.50000000e+01, 1.73481623e-01], [ 1.00000000e+00, 1.60000000e+01, 1.73481623e-01], [ 1.00000000e+00, 1.70000000e+01, 1.73481623e-01], [ 6.86100000e+03, 2.00000000e+00, 1.52305658e-01], [ 6.86100000e+03, 3.00000000e+00, 1.52305658e-01], [ 6.86100000e+03, 4.00000000e+00, 1.52305658e-01], [ 6.86100000e+03, 5.00000000e+00, 1.52305658e-01], [ 6.86100000e+03, 6.00000000e+00, 1.51938417e-01], [ 6.86100000e+03, 7.00000000e+00, 1.46616068e-01], [ 6.86100000e+03, 8.00000000e+00, 1.50252269e-01], [ 6.86100000e+03, 9.00000000e+00, 1.44133828e-01], [ 6.86100000e+03, 1.00000000e+01, 1.34121779e-01], [ 6.86100000e+03, 1.10000000e+01, 1.28583183e-01], [ 6.86100000e+03, 1.20000000e+01, 1.24499842e-01], [ 6.86100000e+03, 1.30000000e+01, 1.54581145e-01], [ 6.86100000e+03, 1.40000000e+01, 1.65433745e-01], [ 6.86100000e+03, 1.50000000e+01, 1.65433745e-01], [ 6.86100000e+03, 1.60000000e+01, 1.65433745e-01], [ 6.86100000e+03, 1.70000000e+01, 1.65433745e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 1.00000000e+00, 2.00000000e+00, 2.13230234e-01], [ 1.00000000e+00, 3.00000000e+00, 2.13230234e-01], [ 1.00000000e+00, 4.00000000e+00, 2.13230234e-01], [ 1.00000000e+00, 5.00000000e+00, 2.13230234e-01], [ 1.00000000e+00, 6.00000000e+00, 2.10989075e-01], [ 1.00000000e+00, 7.00000000e+00, 2.04906031e-01], [ 1.00000000e+00, 8.00000000e+00, 2.10452861e-01], [ 1.00000000e+00, 9.00000000e+00, 2.01989950e-01], [ 1.00000000e+00, 1.00000000e+01, 1.85781214e-01], [ 1.00000000e+00, 1.10000000e+01, 1.81442159e-01], [ 1.00000000e+00, 1.20000000e+01, 1.71942550e-01], [ 1.00000000e+00, 1.30000000e+01, 2.11257934e-01], [ 1.00000000e+00, 1.40000000e+01, 2.26373919e-01], [ 1.00000000e+00, 1.50000000e+01, 2.26373919e-01], [ 1.00000000e+00, 1.60000000e+01, 2.26373919e-01], [ 1.00000000e+00, 1.70000000e+01, 2.26373919e-01], [ 6.86100000e+03, 2.00000000e+00, 1.99259264e-01], [ 6.86100000e+03, 3.00000000e+00, 1.99259264e-01], [ 6.86100000e+03, 4.00000000e+00, 1.99259264e-01], [ 6.86100000e+03, 5.00000000e+00, 1.99056014e-01], [ 6.86100000e+03, 6.00000000e+00, 1.99259264e-01], [ 6.86100000e+03, 7.00000000e+00, 1.92759093e-01], [ 6.86100000e+03, 8.00000000e+00, 1.96431737e-01], [ 6.86100000e+03, 9.00000000e+00, 1.88010088e-01], [ 6.86100000e+03, 1.00000000e+01, 1.76775710e-01], [ 6.86100000e+03, 1.10000000e+01, 1.68550631e-01], [ 6.86100000e+03, 1.20000000e+01, 1.63126042e-01], [ 6.86100000e+03, 1.30000000e+01, 2.00722616e-01], [ 6.86100000e+03, 1.40000000e+01, 2.13893143e-01], [ 6.86100000e+03, 1.50000000e+01, 2.13893143e-01], [ 6.86100000e+03, 1.60000000e+01, 2.13893143e-01], [ 6.86100000e+03, 1.70000000e+01, 2.13893143e-01]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='1,6861', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', field='1,6861', correlation='ABS_LR', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='1,6861', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', field='1,6861', correlation='ABS_RL', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='1,6861', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', field='1,6861', correlation='ABS_LL', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='1,6861', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', field='1,6861', correlation='ABS_RR', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='1,6861', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', field='1,6861', scan='5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=True, flagneartime=True, flagnearfreq=True, action='apply', flagbackup=False, savepars=False) # hifv_solint(limit_short_solint=0.45) # # No comment registered for hifv_solint # split(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', outputvis='calibrators.ms', keepmms=True, scan='4,5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', keepflags=False, width=1, timebin='0s') gaincal(vis='calibrators.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_solint.s12_1.testgaincal.tbl', selectdata=True, scan='4,5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=[[]], gainfield=[''], interp=[''], spwmap=[], parang=True) gaincal(vis='calibrators.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_solint.s12_1.testgaincallimit.tbl', selectdata=True, scan='4,5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', solint='0.45s', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=[[]], gainfield=[''], interp=[''], spwmap=[], parang=True) # hifv_fluxboot2(fitorder=2) # # No comment registered for hifv_fluxboot2 # setjy(vis='calibrators.ms', field='0', spw='0,1', selectdata=False, scalebychan=True, standard='Perley-Butler 2017', model='3C48_X.im', listmodels=False, fluxdensity=-1, usescratch=True) setjy(vis='calibrators.ms', field='0', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='Perley-Butler 2017', model='3C48_S.im', listmodels=False, fluxdensity=-1, usescratch=True) gaincal(vis='calibrators.ms', caltable='fluxphaseshortgaincal.g', selectdata=False, solint='0.45s', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, gaintable=[[]], gainfield=[''], interp=[''], spwmap=[], parang=True) gaincal(vis='calibrators.ms', caltable='fluxflag.g', field='3C48', selectdata=False, solint='100.89900003s', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=5.0, solnorm=True, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=['fluxphaseshortgaincal.g'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='calibrators.ms', caltable='fluxflag.g', field='J2130+0502', selectdata=False, solint='100.89900003s', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=5.0, solnorm=True, gaintype='G', smodel=[], calmode='ap', append=True, gaintable=['fluxphaseshortgaincal.g'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='calibrators.ms', caltable='fluxflag.g', field='J2330+1100', selectdata=False, solint='100.89900003s', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=5.0, solnorm=True, gaintype='G', smodel=[], calmode='ap', append=True, gaintable=['fluxphaseshortgaincal.g'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) flagdata(vis='fluxflag.g', mode='clip', correlation='ABS_ALL', clipminmax=[0.9, 1.1], datacolumn='CPARAM', clipoutside=True, action='apply', flagbackup=False, savepars=False) applycal(vis='calibrators.ms', selectdata=False, docallib=False, gaintable=['fluxflag.g'], gainfield=[''], interp=['linear'], spwmap=[], calwt=[False], parang=False, applymode='flagonlystrict', flagbackup=True) gaincal(vis='calibrators.ms', caltable='fluxgaincal.g', selectdata=False, solint='100.89900003s', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=['fluxphaseshortgaincal.g'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) fluxscale(vis='calibrators.ms', caltable='fluxgaincal.g', fluxtable='fluxgaincalFcal.g', reference=['0'], transfer=[''], append=False, refspwmap=[-1], fitorder=2, display=False) setjy(vis='calibrators.ms', field='J2130+0502', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[2.620218803758903, 0, 0, 0], spix=[-0.7124800751157091, -0.71767992407684511], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', field='J2130+0502', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[2.620218803758903, 0, 0, 0], spix=[-0.7124800751157091, -0.71767992407684511], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='calibrators.ms', field='J2330+1100', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[1.2407825311512932, 0, 0, 0], spix=[-0.18575410279386126, -1.0510935539559896], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', field='J2330+1100', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[1.2407825311512932, 0, 0, 0], spix=[-0.18575410279386126, -1.0510935539559896], reffreq='2977098604.72Hz', usescratch=True) # hifv_finalcals(pipelinemode="automatic") # # No comment registered for hifv_finalcals # gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_1.finaldelayinitialgain.tbl', field='0', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=3.0, solnorm=False, gaintype='K', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_1.finaldelayinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_3.finalBPinitialgain.tbl', spw='0:21~43,1:21~43,2:21~43,3:21~43,4:21~43,5:21~43,6:21~43,7:21~43,8:21~43,9:21~43,10:21~43,11:21~43,12:21~43,13:21~43,14:21~43,15:21~43,16:21~43,17:21~43', selectdata=True, scan='4', solint='int', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) bandpass(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_4.finalBPcal.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=5.0, solnorm=False, bandtype='B', smodel=[], append=False, fillgaps=0, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_3.finalBPinitialgain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_5.averagephasegain.tbl', field='0', selectdata=True, scan='4', solint='inf', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', refantmode='strict', minblperant=4, minsnr=1.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_4.finalBPcal.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_5.averagephasegain.tbl', mode='unflag', action='apply', flagbackup=False, savepars=False) applycal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', selectdata=True, scan='4,5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', docallib=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_5.averagephasegain.tbl'], gainfield=[''], interp=['linear'], spwmap=[], calwt=[False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=True) split(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', outputvis='finalcalibrators.ms', keepmms=True, scan='4,5,25,45,62,82,102,119,120,137,154,174,194,214,234,235', datacolumn='corrected', keepflags=False, width=1, timebin='0s') setjy(vis='finalcalibrators.ms', field='0', spw='0,1', selectdata=False, scalebychan=True, standard='Perley-Butler 2017', model='3C48_X.im', listmodels=False, fluxdensity=-1, usescratch=True) setjy(vis='finalcalibrators.ms', field='0', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='Perley-Butler 2017', model='3C48_S.im', listmodels=False, fluxdensity=-1, usescratch=True) setjy(vis='finalcalibrators.ms', field='J2130+0502', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[2.620218803758903, 0, 0, 0], spix=[-0.7124800751157091, -0.71767992407684511], reffreq='2977098604.72Hz', usescratch=True) setjy(vis='finalcalibrators.ms', field='J2330+1100', spw='2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[1.2407825311512932, 0, 0, 0], spix=[-0.18575410279386126, -1.0510935539559896], reffreq='2977098604.72Hz', usescratch=True) gaincal(vis='finalcalibrators.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl', selectdata=False, solint='0.45s', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', refantmode='strict', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, gaintable=[[]], gainfield=[''], interp=[''], spwmap=[], parang=True) gaincal(vis='finalcalibrators.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', selectdata=False, solint='100.89900003s', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', refantmode='strict', minblperant=4, minsnr=5.0, solnorm=False, gaintype='G', smodel=[], calmode='ap', append=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) gaincal(vis='finalcalibrators.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_8.finalphasegaincal.tbl', selectdata=False, solint='100.89900003s', combine='scan', preavg=-1.0, refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', refantmode='strict', minblperant=4, minsnr=3.0, solnorm=False, gaintype='G', smodel=[], calmode='p', append=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_7.finalampgaincal.tbl'], gainfield=[''], interp=['linear'], spwmap=[], parang=True) # hifv_circfeedpolcal(pipelinemode="automatic") # # No comment registered for hifv_circfeedpolcal # setjy(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', field='3C48', selectdata=False, scalebychan=True, standard='manual', listmodels=False, fluxdensity=[6.4861, -0.132, 0.0417, 0], spix=[-0.934677, -0.125579], reffreq='3000.0MHz', polindex=[0.02143, 0.0392, 0.002349, -0.023], polangle=[-1.7233, 1.569, -2.282, 1.49], rotmeas=0, fluxdict={}, useephemdir=False, interpolation='nearest', usescratch=True) gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', field='3C48', spw='2,3,4,5,6,7,8,9', intent='CALIBRATE_FLUX#UNSPECIFIED,CALIBRATE_AMPLI#UNSPECIFIED,CALIBRATE_PHASE#UNSPECIFIED,CALIBRATE_BANDPASS#UNSPECIFIED', solint='inf', combine='scan,spw', refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, gaintype='KCROSS', append=False, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl'], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear'], parang=True) gaincal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', field='3C48', spw='10,11,12,13,14,15,16,17', intent='CALIBRATE_FLUX#UNSPECIFIED,CALIBRATE_AMPLI#UNSPECIFIED,CALIBRATE_PHASE#UNSPECIFIED,CALIBRATE_BANDPASS#UNSPECIFIED', solint='inf', combine='scan,spw', refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, gaintype='KCROSS', append=True, gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl'], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear'], parang=True) polcal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_2.D2.tbl', field='J2130+0502', intent='CALIBRATE_POL_LEAKAGE#UNSPECIFIED', solint='inf,2MHz', combine='scan', refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=5.0, poltype='Df+QU', gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_1.kcross.tbl'], gainfield=[''], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10]]) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_2.D2.tbl', mode='clip', correlation='ABS_ALL', clipminmax=[0.0, 0.25], datacolumn='CPARAM', clipoutside=True, action='apply', flagbackup=False, savepars=False) polcal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', caltable='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_3.X1.tbl', field='3C48', intent='CALIBRATE_POL_ANGLE#UNSPECIFIED', solint='inf,2MHz', combine='scan', refant='ea24,ea04,ea17,ea14,ea11,ea08,ea25,ea23,ea06,ea20,ea07,ea16,ea19,ea10,ea12,ea01,ea21,ea09,ea13,ea22,ea28,ea26,ea18,ea27,ea05,ea03,ea15', minblperant=4, minsnr=3.0, poltype='Xf', gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_6.phaseshortgaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_2.D2.tbl'], gainfield=[''], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10], []]) # hifv_flagcal(pipelinemode="automatic") # # No comment registered for hifv_flagcal # flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', mode='clip', correlation='ABS_ALL', clipminmax=[0.9, 1.1], datacolumn='CPARAM', clipoutside=True, action='apply', flagbackup=False, savepars=False) # hifv_applycals(flagsum=False, flagdetailedsum=False, gainmap=True) # # No comment registered for hifv_applycals # applycal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', antenna='*&*', scan='5,9,12,15,18,21,24,25,29,32,35,38,41,44,45,49,52,55,58,61,62,66,69,72,75,78,81,82,86,89,92,95,98,101,102,106,109,112,115,118,119,235', gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_8.finalphasegaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_2.D2.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_3.X1.tbl'], gainfield=['', '', '', '', '', '', '', '1', '1', '', '', ''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear', 'linear', 'linear', 'linear'], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10], [], []], calwt=[False, False, False, False, False, False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=False) applycal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', antenna='*&*', scan='120,124,127,130,133,136,137,141,144,147,150,153,154,158,161,164,167,170,173,174,178,181,184,187,190,193,194,198,201,204,207,210,213,214,218,221,224,227,230,233,234', gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_8.finalphasegaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_2.D2.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_3.X1.tbl'], gainfield=['', '', '', '', '', '', '', '6861', '6861', '', '', ''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear', 'linear', 'linear', 'linear'], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10], [], []], calwt=[False, False, False, False, False, False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=False) applycal(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', intent='CALIBRATE*', antenna='*&*', gaintable=['TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_2.gc.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_3.opac.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_4.rq.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_priorcals.s5_6.ants.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_2.finaldelay.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_4.finalBPcal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_5.averagephasegain.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_7.finalampgaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_finalcals.s14_8.finalphasegaincal.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_1.kcross.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_2.D2.tbl', 'TSKY0001.sb32476325.eb32479241.57593.34503652778.ms.hifv_circfeedpolcal.s15_3.X1.tbl'], gainfield=['', '', '', '', '', '', '', '', '', '', '', ''], interp=['linear', 'linear', 'linear', 'linear', 'linear', 'linear,linearflag', 'linear', 'linear', 'linear', 'linear', 'linear', 'linear'], spwmap=[[], [], [], [], [], [], [], [], [], [0, 1, 2, 2, 2, 2, 2, 2, 2, 2, 10, 10, 10, 10, 10, 10, 10, 10], [], []], calwt=[False, False, False, False, False, False, False, False, False, False, False, False], parang=True, applymode='calflagstrict', flagbackup=False) # hifv_checkflag(checkflagmode='target-vlass') # # No comment registered for hifv_checkflag # flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='summary') flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', correlation='ABS_RL', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', correlation='ABS_RL', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 4.00000000e+00, 2.00000000e+00, 1.52442639e-01], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.39380574e-01], [ 1.37200000e+04, 1.60000000e+01, 1.39380574e-01], [ 1.37200000e+04, 1.70000000e+01, 1.39380574e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 4.00000000e+00, 2.00000000e+00, 2.15239429e-05], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.79692524e-01], [ 1.37200000e+04, 1.60000000e+01, 1.81389365e-01], [ 1.37200000e+04, 1.70000000e+01, 1.81389365e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 4.00000000e+00, 2.00000000e+00, 1.52442639e-01], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.39380574e-01], [ 1.37200000e+04, 1.60000000e+01, 1.39380574e-01], [ 1.37200000e+04, 1.70000000e+01, 1.39380574e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 4.00000000e+00, 2.00000000e+00, 2.15239429e-05], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.79692524e-01], [ 1.37200000e+04, 1.60000000e+01, 1.81389365e-01], [ 1.37200000e+04, 1.70000000e+01, 1.81389365e-01]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', correlation='ABS_LR', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=4.0, freqdevscale=4.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', correlation='ABS_LR', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 4.00000000e+00, 2.00000000e+00, 1.49545413e-01], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.38122996e-01], [ 1.37200000e+04, 1.60000000e+01, 1.38122996e-01], [ 1.37200000e+04, 1.70000000e+01, 1.38122996e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 4.00000000e+00, 2.00000000e+00, 1.95603795e-05], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.80186291e-01], [ 1.37200000e+04, 1.60000000e+01, 1.80186291e-01], [ 1.37200000e+04, 1.70000000e+01, 1.80186291e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 4.00000000e+00, 2.00000000e+00, 1.49545413e-01], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.38122996e-01], [ 1.37200000e+04, 1.60000000e+01, 1.38122996e-01], [ 1.37200000e+04, 1.70000000e+01, 1.38122996e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 4.00000000e+00, 2.00000000e+00, 1.95603795e-05], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.80186291e-01], [ 1.37200000e+04, 1.60000000e+01, 1.80186291e-01], [ 1.37200000e+04, 1.70000000e+01, 1.80186291e-01]])}, 'nreport': 1}, timedevscale=4.0, freqdevscale=4.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', correlation='ABS_RR', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=7.0, freqdevscale=7.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', correlation='ABS_RR', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 4.00000000e+00, 2.00000000e+00, 1.42806457e-01], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.78972916e-01], [ 1.37200000e+04, 1.60000000e+01, 1.96631673e-01], [ 1.37200000e+04, 1.70000000e+01, 1.96631673e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 4.00000000e+00, 2.00000000e+00, 1.95792109e-05], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.84653909e-01], [ 1.37200000e+04, 1.60000000e+01, 1.84653909e-01], [ 1.37200000e+04, 1.70000000e+01, 1.84653909e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 4.00000000e+00, 2.00000000e+00, 1.42806457e-01], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.78972916e-01], [ 1.37200000e+04, 1.60000000e+01, 1.96631673e-01], [ 1.37200000e+04, 1.70000000e+01, 1.96631673e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 4.00000000e+00, 2.00000000e+00, 1.95792109e-05], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.84653909e-01], [ 1.37200000e+04, 1.60000000e+01, 1.84653909e-01], [ 1.37200000e+04, 1.70000000e+01, 1.84653909e-01]])}, 'nreport': 1}, timedevscale=7.0, freqdevscale=7.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', correlation='ABS_LL', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedevscale=7.0, freqdevscale=7.0, action='calculate', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='rflag', correlation='ABS_LL', intent='*TARGET*', datacolumn='corrected', ntime='scan', combinescans=False, extendflags=False, winsize=3, timedev={'type': 'list', 'report0': {'freqdev': array([[ 4.00000000e+00, 2.00000000e+00, 1.47053176e-01], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.75328392e-01], [ 1.37200000e+04, 1.60000000e+01, 1.93194912e-01], [ 1.37200000e+04, 1.70000000e+01, 1.93194912e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 4.00000000e+00, 2.00000000e+00, 2.12001029e-05], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.84698385e-01], [ 1.37200000e+04, 1.60000000e+01, 1.84698385e-01], [ 1.37200000e+04, 1.70000000e+01, 1.84698385e-01]])}, 'nreport': 1}, freqdev={'type': 'list', 'report0': {'freqdev': array([[ 4.00000000e+00, 2.00000000e+00, 1.47053176e-01], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.75328392e-01], [ 1.37200000e+04, 1.60000000e+01, 1.93194912e-01], [ 1.37200000e+04, 1.70000000e+01, 1.93194912e-01]]), 'type': 'rflag', 'name': 'Rflag', 'timedev': array([[ 4.00000000e+00, 2.00000000e+00, 2.12001029e-05], [ 4.00000000e+00, 3.00000000e+00, 0.00000000e+00], [ 4.00000000e+00, 4.00000000e+00, 0.00000000e+00], ..., [ 1.37200000e+04, 1.50000000e+01, 1.84698385e-01], [ 1.37200000e+04, 1.60000000e+01, 1.84698385e-01], [ 1.37200000e+04, 1.70000000e+01, 1.84698385e-01]])}, 'nreport': 1}, timedevscale=7.0, freqdevscale=7.0, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', correlation='ABS_LR', intent='*TARGET*', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', correlation='ABS_RL', intent='*TARGET*', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', correlation='ABS_LL', intent='*TARGET*', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='tfcrop', correlation='ABS_RR', intent='*TARGET*', datacolumn='corrected', ntime=0.4499999999999929, combinescans=False, timecutoff=3.0, freqcutoff=3.0, freqfit='line', flagdimension='freq', extendflags=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=False, flagneartime=False, flagnearfreq=False, action='apply', flagbackup=False, savepars=False) flagdata(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', mode='extend', intent='*TARGET*', ntime='scan', combinescans=False, extendflags=False, extendpols=True, growtime=100.0, growfreq=100.0, growaround=True, flagneartime=True, flagnearfreq=True, action='apply', flagbackup=False, savepars=False) # hifv_statwt(pipelinemode="automatic") # # No comment registered for hifv_statwt # statwt(vis='TSKY0001.sb32476325.eb32479241.57593.34503652778.ms', minsamp=10, datacolumn='corrected') # hifv_plotsummary(pipelinemode="automatic") # # No comment registered for hifv_plotsummary # # hifv_exportdata(gainmap=True) # # No comment registered for hifv_exportdata #